freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的電梯設(shè)計(jì)-閱讀頁

2024-12-24 12:59本頁面
  

【正文】 與電感合理的安排在電路中,則可以有效的降低交流成分,保持直流成分。但這樣的電壓還隨電網(wǎng)電壓波動(dòng) (一般有177。因而在全波整流、濾波之后,還需接入集成三端穩(wěn)壓器進(jìn)行穩(wěn)壓,使輸出的直流電壓能穩(wěn)定在 Vo=+5V。 對(duì)所需要計(jì)算的參數(shù)逐一進(jìn)行計(jì)算: (1)確定電容 C1, C2 因?yàn)樵谑褂萌朔€(wěn)壓器時(shí),為了保證穩(wěn)壓性能,輸入端和輸出端間電位差至少應(yīng)在 2V 以上,當(dāng)然也不能太大,以減少器件功耗和避免器件損壞,一般對(duì)輸出電壓不大于 18V 的穩(wěn)壓器,輸入電壓應(yīng)小于 35V。為了留有一定裕量,取 V2=10. 5V。根據(jù)要求,選擇 78 AC集成 三端穩(wěn)壓器可滿足要求。散熱器以叉指型散熱器為佳。其壓差在 輸出,負(fù)載電流為 800mA 時(shí)為 。 LM1117 有可調(diào)電壓的版本,通過 2 個(gè)外部電阻可實(shí)現(xiàn) ~ 輸出電壓范圍。 LM1117 提供電流限制和熱保護(hù)。1% 以內(nèi)。輸出端需要一個(gè)至少 10uF的鉭電容來改善瞬態(tài)響應(yīng)和穩(wěn)定性。它由發(fā)光源和受光器兩部分組成。發(fā)光源的引腳為輸入端,受光器的引腳為輸出端,常見的發(fā)光源為發(fā)光二極管,受光器為光敏二極管、光敏三極管等等。 在光電耦合器輸入端加電信號(hào)使發(fā)光源發(fā)光,光的強(qiáng)度取決于激勵(lì)電流的大小,此光照射到封裝在一起的受光器 上后,因光電效應(yīng)而產(chǎn)生了光電流,由受光器輸出端引出,這樣就實(shí)現(xiàn)了電一光一電的轉(zhuǎn)換。 數(shù)碼管按段數(shù)分為七段數(shù)碼管和八段數(shù)碼管,八段數(shù)碼管比七段數(shù)碼管多一個(gè)發(fā)光二極管單元(多一個(gè)小數(shù)點(diǎn)顯示);按能顯示多少個(gè)“8” 可分為 1 位、 2 位、 4 位等等數(shù)碼管;按發(fā)光二極管單元連接方式分為共陽極數(shù)碼管和共陰極數(shù)碼管。共陽數(shù)碼管在應(yīng)用時(shí)應(yīng)將公共極 COM 接到 +5V,當(dāng)某一字段發(fā)光二極管的陰極為低電平時(shí),相應(yīng)字段就點(diǎn)亮。共陰數(shù)碼管是指將所有發(fā)光二極管的陰極接到一起形成公共陰極 (COM)的數(shù)碼管。當(dāng)某一字段的陽極為低電平時(shí),相應(yīng)字段就不亮。 靜態(tài)顯示驅(qū)動(dòng):靜態(tài)驅(qū)動(dòng)也稱直流驅(qū)動(dòng)。靜態(tài)驅(qū)動(dòng)的優(yōu)點(diǎn)是編程簡單,顯示亮度高,缺點(diǎn)是占用 I/O 端口多,如驅(qū)動(dòng) 5 個(gè)數(shù)碼管靜態(tài)顯示則需要 58 = 40根 I/O 端口來驅(qū)動(dòng),實(shí)際應(yīng)用時(shí)必須增加譯碼驅(qū)動(dòng)器進(jìn)行驅(qū)動(dòng),增加了硬件電路的復(fù)雜性。可以將電梯等待的每 秒鐘以及開門,關(guān)門都看成一個(gè)獨(dú)立的狀態(tài)。 狀態(tài)機(jī)設(shè)計(jì) 介紹 有限狀態(tài)機(jī)( Finite State Machine,簡稱 FSM)是一類很重要的時(shí)序電路,是許多數(shù)字系統(tǒng)的核心部件,也是實(shí)時(shí)系統(tǒng)設(shè)計(jì)中的一種數(shù)學(xué)模型,是一種重要的、易于建立的、應(yīng)用比較廣泛的、以描述控制特性為主的建模方法,它可以應(yīng)用于從系統(tǒng)分析到設(shè)計(jì)的所有階段。建立有限狀態(tài)機(jī)主要有兩種方法:“狀態(tài)轉(zhuǎn)移圖”和“狀態(tài)轉(zhuǎn) 移表”。 狀態(tài)機(jī)的基本結(jié)構(gòu)和功能 狀態(tài)機(jī)的基本結(jié)構(gòu)如圖 1 所示。狀態(tài)機(jī)寄存器的下一個(gè)狀態(tài)及輸出,不僅同輸入信號(hào)有關(guān),而且還與寄存器的當(dāng)前狀態(tài)有關(guān),狀態(tài)機(jī)可以認(rèn)為是組合邏輯和寄存器邏輯的特殊組合。組合邏輯部分又可分為狀態(tài)譯碼器和輸出譯碼器,狀態(tài)譯碼器確定狀態(tài)機(jī)的下一個(gè)狀態(tài),即確定狀態(tài)機(jī)的激勵(lì)方程,輸出譯狀態(tài)譯碼器 狀態(tài)寄存器 輸 出譯碼器 碼器確定狀態(tài)機(jī)的輸出,即確定狀態(tài)機(jī) 的輸出方程。 組合邏輯 圖 狀態(tài)機(jī)的基本結(jié)構(gòu) 狀態(tài)機(jī)的基本操作有兩種: 1) .狀態(tài)機(jī)的內(nèi)部狀態(tài)轉(zhuǎn)換。 2) .產(chǎn)生輸出信號(hào)序列。用輸入信號(hào)決定下一狀態(tài)也稱為“轉(zhuǎn)移”。從一個(gè)狀態(tài)轉(zhuǎn)移到另一狀態(tài)稱為控制定序,而決定下一狀態(tài)所需的邏輯稱為轉(zhuǎn)移函數(shù)。有限狀態(tài)機(jī)的全部“歷史”都反映在當(dāng)前狀態(tài)上。輸出由當(dāng)前狀態(tài)和輸入共同決定,同時(shí) FSM 也會(huì)轉(zhuǎn)移到下一個(gè)新狀態(tài),也是隨著 FSM 的當(dāng)前狀態(tài)和輸入而定。 建立有限狀態(tài)機(jī)主要有兩種方法:狀態(tài)轉(zhuǎn)移圖(狀態(tài)圖)和狀態(tài)轉(zhuǎn)移表(狀態(tài)表)。 狀態(tài)轉(zhuǎn)移圖 圖中每個(gè)橢圓表示狀態(tài)機(jī)的一個(gè)狀態(tài),而箭頭表示狀態(tài)之間的一個(gè)轉(zhuǎn)換,引起轉(zhuǎn)換的輸入信號(hào)及當(dāng)前輸出表示在轉(zhuǎn)換箭頭上。 狀態(tài)機(jī)的一般組成: 1) 說明部分 說明部分中使用 TYPE 語句定義新的數(shù)據(jù)類型,此數(shù)據(jù)類型為枚舉型,其元素通常都用狀態(tài)機(jī)的狀態(tài)名來定義。說明部分一般放在結(jié)構(gòu)體的 ARCHITECTURE 和 BEGIN 之間。狀態(tài)機(jī)隨外部時(shí)鐘信號(hào)以同步方式工作,當(dāng)時(shí)鐘的有效跳變到來時(shí),時(shí)序進(jìn)程將代表次態(tài)的信號(hào) next_state 中的內(nèi)容送入現(xiàn)態(tài)信號(hào) current_state 中,而next_state 中的內(nèi)容完全由其他進(jìn)程根據(jù)實(shí)際情況而定,此進(jìn)程中往往也包括一些清零或置位的控制信號(hào)。 4 )輔助進(jìn)程 用于配合狀態(tài)機(jī)工作的組合、時(shí)序進(jìn)程或配合狀態(tài)機(jī)工作的其他時(shí)序進(jìn)程。例如可使用兩個(gè)進(jìn)程來描述, — 個(gè)進(jìn)程描述時(shí)序邏輯,包括狀態(tài)寄存器的工作和寄存器狀態(tài)的輸出,另一個(gè)進(jìn)程描述組合邏輯,包括進(jìn)程間狀態(tài)值的傳遞邏輯以及狀態(tài)轉(zhuǎn)換值的輸出。 電梯算法 分析 請(qǐng)求信號(hào)分析: 電梯的請(qǐng)求 信號(hào)可分為梯內(nèi)請(qǐng)求和梯外請(qǐng)求,如果從這個(gè)角度就很難去進(jìn)行對(duì)電梯運(yùn)行可能情況的分析,因?yàn)殡娞莸倪\(yùn)行是根據(jù)梯外和梯內(nèi)的請(qǐng)求信號(hào)、行程信號(hào)進(jìn)行控制,而梯外和梯內(nèi)的請(qǐng)求是隨機(jī)且不能以有限的規(guī)則去對(duì)其進(jìn)行說明的。出于這方面的考慮,本設(shè)計(jì)把電梯的請(qǐng)求信號(hào)劃分為上升請(qǐng)求和下降請(qǐng)求。使電梯進(jìn)入預(yù)上升狀態(tài)的請(qǐng)求信號(hào)就是上升請(qǐng)求信號(hào)。這時(shí)的請(qǐng)求信號(hào)就是上升請(qǐng)求信號(hào)。 電梯處于各樓層時(shí)的運(yùn)行情況: 處于一樓時(shí),不管是梯內(nèi)梯外,電梯都只可能接收到上升的請(qǐng)求信號(hào)。如果電梯沒有接收到請(qǐng)求信號(hào),電梯則在一樓待機(jī)。 處于 六樓時(shí)不管是梯內(nèi)梯外,電梯都只可能接收到下降的請(qǐng)求信號(hào)。如果電梯沒有接收到請(qǐng)求信號(hào),電梯則返回一樓待機(jī)。本系統(tǒng)由請(qǐng)求信號(hào)啟動(dòng),運(yùn)行中每檢測到一個(gè)到達(dá)樓層信號(hào),就將信號(hào)存儲(chǔ)器的請(qǐng)求信號(hào)和樓層狀態(tài)信號(hào)進(jìn)行比較,再參考原方向信號(hào)來決定是否停止,轉(zhuǎn)向等動(dòng)作。 use 。 use 。 時(shí)鐘信號(hào)(頻率為 2Hz) full,deng,quick,clr : in std_logic。 電梯外人的上升請(qǐng)求信號(hào) c_d2,c_d3,c_d4,c_d5,c_d6: in std_logic。 電梯內(nèi)人的請(qǐng)求信號(hào) g1,g2,g3,g4,g5,g6 : in std_logic。 電梯門控制信號(hào) led : out std_logic_vector(13 downto 0)。 電梯外人上升請(qǐng)求信號(hào)顯示 led_c_d:out std_logic_vector(9 downto 0)。 電梯內(nèi)請(qǐng)求信號(hào)顯 wahaha : out std_logic。 電梯運(yùn)動(dòng)方向顯示,超載警告信號(hào) up,down : out std_logic )。 控制器中的構(gòu)造體的各個(gè)信號(hào)定義: signal q1:integer range 0 to 6。 看門狗計(jì)數(shù)器 signal dd,cc_u,cc_d,dd_cc:std_logic_vector(9 downto 0)。 開門使能信號(hào) signal updown:std_logic。 預(yù)備上升、預(yù)備下降預(yù)操作使能信號(hào) 控制器的邏輯判斷: d66amp。d44amp。d22amp。 電梯內(nèi)人請(qǐng)求信號(hào)并置 cc_u=39。amp。c_u44amp。c_u22amp。 電梯外人上升請(qǐng)求信號(hào)并置 cc_d= c_d66amp。c_d44amp。c_d22amp。039。 電梯內(nèi)、外人請(qǐng)求信號(hào)進(jìn)行綜合 7 程序調(diào)試及仿真結(jié)果 程序調(diào)試通過編譯 仿真結(jié)果 ( a)電梯控制程序仿真全圖 ( b)電梯控制程序仿真局部放大圖 1 1. 電梯停在一樓時(shí),接到請(qǐng)求信號(hào) c_d3,c_d2,c_u4 和 d6,并把請(qǐng)求信號(hào)寫入相應(yīng)的寄存器。 3 電梯上升到四樓時(shí),響應(yīng)請(qǐng)求( c_u4),開門載客;進(jìn)入預(yù)備上升狀態(tài)。 ( d)電梯控制程序仿真局部放大圖 3 1 電梯到達(dá)三樓時(shí),響應(yīng)請(qǐng)求( c_d3),開門卸客,進(jìn)入預(yù)備下降狀態(tài)。 3 電梯接收到提前關(guān)門信號(hào) quick, 電梯跳過關(guān)門等待時(shí)間,仿真圖 q1從 1 跳到 3;進(jìn)入關(guān)門狀態(tài)。 6 當(dāng)連續(xù)關(guān)門次數(shù)超過三次,不認(rèn)為是乘客需要,故障報(bào)警 8 總結(jié) VHDL 語言既具有高級(jí)編程語言的優(yōu)點(diǎn),又有并行執(zhí)行的特性,使設(shè)計(jì)者脫離了底層電路,而在更高的層次上考慮電路的各種時(shí)序 和邏輯關(guān)系。 用 VHDL 硬件描述語言的形式來進(jìn)行數(shù)字系統(tǒng)的設(shè)計(jì)方便靈活,利用EDA 軟件進(jìn)行編譯優(yōu)化仿真極大的減少了電路設(shè)計(jì)時(shí)間和可能發(fā)生的錯(cuò)誤,降低了開發(fā)成本。 (1)在進(jìn)行設(shè)計(jì)時(shí),最主要的是先設(shè)計(jì)理清時(shí)序。但將各個(gè)功能模塊綜合在一起之后就需要理清它們的時(shí)序,才能夠共用數(shù)據(jù)總線,使其互不干擾地工作。在仿真的時(shí)候會(huì)出現(xiàn)比較多的問題,如果能夠耐心的解決將會(huì)獲益良多。這主要是由于各個(gè)功能實(shí)現(xiàn)時(shí)會(huì)有時(shí)延,這在仿真時(shí)是反映不出來的。 (4)要仔細(xì)研究仿真時(shí)所獲得的波形圖,確定所做的設(shè)計(jì)穩(wěn)定又實(shí)用。在設(shè)計(jì)的過程中我深深的體會(huì)到, VHDL 語言實(shí)在是一個(gè)很好用的硬件描述語言。它必將成為數(shù)字系統(tǒng)設(shè)計(jì)中的一種重要工具。畢業(yè)設(shè)計(jì)的完成也帶表我大學(xué)生活的結(jié)束,三年的生活有苦有甜,讓人回味。這里的一草一木都讓我難忘,每一個(gè)角落都寫滿了這三年的喜怒哀樂。在學(xué)習(xí)中 ,孫 老師嚴(yán)謹(jǐn)?shù)闹螌W(xué)態(tài)度、豐富淵博的知識(shí)、敏銳的學(xué)術(shù)思維、精益求精的工作態(tài)度以及侮人不倦的師者風(fēng)范是我終生學(xué)習(xí)的楷模, 老 師們的高深精湛的造詣與嚴(yán)謹(jǐn)求實(shí)的治學(xué)精神,將 永遠(yuǎn)激勵(lì)著我。 “ 不積跬步無以至千里 ” ,這次畢業(yè)論文能夠最終順利完成,歸功于 大學(xué)三年 各位老師 教誨 ,使我能夠很好的掌握專業(yè) 知識(shí),并在論文中得以體現(xiàn)。 use 。 use 。 full,deng,quick,clr:in std_logic。 c_d2,c_d3,c_d4,c_d5,c_d6:in std_logic。 g1,g2,g3,g4,g5,g6:in std_logic。 led:out std_logic_vector(6 downto 0)。 led_c_d: out std_logic_vector(5 downto 0)。 wahaha: out std_logic。 up, down: out std_logic)。 architecture behav of dianti is signal d11,d22,d33,d44,d55,d66:std_logic。 signal c_d22,c_d33,c_d44,c_d55,c_d66:std_logic。 signal q1:integer range 0 to 6。 signal dd,cc_u,cc_d,dd_cc:std_logic_vector(5 downto 0)。 signal updown:std_logic。 begin : process (clk) begin if clk39。139。139。q2=0。039。139。139。 if q1=3 then door=10。 end if。alarm=39。 if q2=3 then wahaha=39。 else if opendoor=39。then door=10。q2=0。039。039。139。139。q1=0。 elsif quick=39。then q1=3。updown=39。up=39。 elsif q1=3 then door=01。 else q1=q1+1。 end if。139。139。q1=0。 elsif quick=39。then q1=3。updown=39。down=39。 elsif q1=3 then door=01。 else q1=q1+1。 end if。 if g1=39。 then led=1001111。139。139。039。039。139。139。039。039。 elsif g2=39。then led=0010010。139。139。139。039。039。139。139。039。139。039。 elsif d22=39。or c_d22=39。then d22=39。c_d22=39。opendoor=39。 elsif dd_cc000010then en_dw=39。opendoor=39。 elsif dd_cc000011then en_up=39。opendoor=39。 end if。139。 if updown=39。then if d33=39。or c_u33=39。then d33=39。c_u33=39。opendoor=39。 elsif dd_cc000111then en_up=39。opendoor=39。 elsif dd_cc000100then en_dw=39。opendoor=39。 end if。139。139。039。039。13
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1