freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的電梯設(shè)計(jì)(留存版)

2025-02-02 12:59上一頁面

下一頁面
  

【正文】 對(duì)內(nèi)、外請(qǐng)求沒有設(shè)置優(yōu)先級(jí),各樓層的 內(nèi)、外請(qǐng)求信號(hào)被采集后可先進(jìn)行運(yùn)算,再存到存儲(chǔ)器內(nèi)。因此應(yīng)適當(dāng)?shù)墓罍y一下功能資源以確定使用什么樣的器件。下載鏈上最后一個(gè)器件的 nCEO 懸空。 JTAG 接口是一個(gè)業(yè)界標(biāo)準(zhǔn) ,主要用于芯片測試等功能 ,使用 IEEE Std 聯(lián)合邊界掃描接口引腳,支持 JAM STAPL 標(biāo)準(zhǔn),可以使用 Altera下載電纜或主控器來完成。但這樣的電壓還隨電網(wǎng)電壓波動(dòng) (一般有177。 LM1117 提供電流限制和熱保護(hù)。共陰數(shù)碼管是指將所有發(fā)光二極管的陰極接到一起形成公共陰極 (COM)的數(shù)碼管。狀態(tài)機(jī)寄存器的下一個(gè)狀態(tài)及輸出,不僅同輸入信號(hào)有關(guān),而且還與寄存器的當(dāng)前狀態(tài)有關(guān),狀態(tài)機(jī)可以認(rèn)為是組合邏輯和寄存器邏輯的特殊組合。 建立有限狀態(tài)機(jī)主要有兩種方法:狀態(tài)轉(zhuǎn)移圖(狀態(tài)圖)和狀態(tài)轉(zhuǎn)移表(狀態(tài)表)。出于這方面的考慮,本設(shè)計(jì)把電梯的請(qǐng)求信號(hào)劃分為上升請(qǐng)求和下降請(qǐng)求。 use 。 電梯運(yùn)動(dòng)方向顯示,超載警告信號(hào) up,down : out std_logic )。amp。 3 電梯上升到四樓時(shí),響應(yīng)請(qǐng)求( c_u4),開門載客;進(jìn)入預(yù)備上升狀態(tài)。這主要是由于各個(gè)功能實(shí)現(xiàn)時(shí)會(huì)有時(shí)延,這在仿真時(shí)是反映不出來的。 use 。 up, down: out std_logic)。139。 if q2=3 then wahaha=39。q1=0。139。 else q1=q1+1。139。139。 elsif d22=39。opendoor=39。opendoor=39。039。139。then d33=39。opendoor=39。139。139。039。down=39。 else q1=q1+1。139。 end if。 begin : process (clk) begin if clk39。 led_c_d: out std_logic_vector(5 downto 0)。在學(xué)習(xí)中 ,孫 老師嚴(yán)謹(jǐn)?shù)闹螌W(xué)態(tài)度、豐富淵博的知識(shí)、敏銳的學(xué)術(shù)思維、精益求精的工作態(tài)度以及侮人不倦的師者風(fēng)范是我終生學(xué)習(xí)的楷模, 老 師們的高深精湛的造詣與嚴(yán)謹(jǐn)求實(shí)的治學(xué)精神,將 永遠(yuǎn)激勵(lì)著我。但將各個(gè)功能模塊綜合在一起之后就需要理清它們的時(shí)序,才能夠共用數(shù)據(jù)總線,使其互不干擾地工作。039。d22amp。 電梯外人上升請(qǐng)求信號(hào)顯示 led_c_d:out std_logic_vector(9 downto 0)。如果電梯沒有接收到請(qǐng)求信號(hào),電梯則返回一樓待機(jī)。例如可使用兩個(gè)進(jìn)程來描述, — 個(gè)進(jìn)程描述時(shí)序邏輯,包括狀態(tài)寄存器的工作和寄存器狀態(tài)的輸出,另一個(gè)進(jìn)程描述組合邏輯,包括進(jìn)程間狀態(tài)值的傳遞邏輯以及狀態(tài)轉(zhuǎn)換值的輸出。有限狀態(tài)機(jī)的全部“歷史”都反映在當(dāng)前狀態(tài)上。建立有限狀態(tài)機(jī)主要有兩種方法:“狀態(tài)轉(zhuǎn)移圖”和“狀態(tài)轉(zhuǎn) 移表”。 數(shù)碼管按段數(shù)分為七段數(shù)碼管和八段數(shù)碼管,八段數(shù)碼管比七段數(shù)碼管多一個(gè)發(fā)光二極管單元(多一個(gè)小數(shù)點(diǎn)顯示);按能顯示多少個(gè)“8” 可分為 1 位、 2 位、 4 位等等數(shù)碼管;按發(fā)光二極管單元連接方式分為共陽極數(shù)碼管和共陰極數(shù)碼管。其壓差在 輸出,負(fù)載電流為 800mA 時(shí)為 。濾波電路的作用就是在降低整流后輸出電壓中的脈動(dòng)成分的同時(shí),盡量保持其中的直流成分。配置數(shù)據(jù)被同步在 DCLK輸入上, 1個(gè)時(shí)鐘周期傳送 1 位數(shù)據(jù)。 nCSO( I/O) FPGA 片選信號(hào)輸出,連接到配置器件的 nCS 管腳。假如電梯處于向上運(yùn)動(dòng)狀態(tài),初始位置是底層,初始請(qǐng)求是 6樓, 2 樓時(shí)進(jìn)入一人,如果他的目的地也是 6 樓,他看到初始請(qǐng)求是 6 樓,就可以不再按鍵。 控制器采用 FPGA 作為系統(tǒng)控制的核心,系統(tǒng)時(shí)鐘頻率是 ,完全可以滿 足實(shí)時(shí)采集數(shù)據(jù)的要求。 在內(nèi)部請(qǐng)求優(yōu)先控制方式中,當(dāng)電梯外部人的請(qǐng)求和電梯內(nèi)部人狀態(tài)請(qǐng)求沖突時(shí),外部人的請(qǐng)求信號(hào)可能被長時(shí)間忽略,因而它不能作為 通用型電梯的設(shè)計(jì)方案。近幾年來,硬件描述語言等設(shè)計(jì)數(shù)據(jù)格式的逐步標(biāo)準(zhǔn)化,不同設(shè)計(jì)風(fēng)格和應(yīng)用的要求導(dǎo)致各具特色的 EDA 工具被集成在同一個(gè)工作站上,從而使 EDA 框架日趨標(biāo)準(zhǔn)化。 3 總體方案 選擇 電梯的微機(jī)化控制主要有以下幾種形式: 1 PLC 控制; 2 單板機(jī)控制;3 單片機(jī)控制; 4 單微機(jī)控制; 5 多微機(jī)控制; 6 人工智能控制。 2) VHDL 的編譯器和綜合器對(duì)程序文字的大小寫是不加區(qū)分的。自 IEEE 公布了 VHDL 的標(biāo)準(zhǔn)版本 (IEEE 1076)之后, 數(shù) 個(gè) EDA 公司相繼推出了自己的 VHDL 設(shè)計(jì)環(huán)境,或宣布自己的設(shè)計(jì)工具可以和 VHDL 接口。硬件描述語言是 EDA 技術(shù)的重要組成部分, VHDL 是作為電子設(shè)計(jì)主流硬件的描述語言。而 FPGA/CPLD 控制系 統(tǒng)由于運(yùn)行可靠性高,使用維修方便,抗干擾性強(qiáng) ,設(shè)計(jì)和調(diào)試周期較短等優(yōu)點(diǎn),倍受人們重視等優(yōu)點(diǎn),已成為目前在電梯控制系統(tǒng)中使用最多的控制方式,目前也廣泛用于傳統(tǒng)繼電器控制系統(tǒng)的技術(shù)改造。 VHDL具有與具體硬件電路無關(guān)和設(shè)計(jì)平臺(tái)無關(guān)的特性,并且具有良好的電路行為描述和系統(tǒng)描述的能力,并在語言易讀性和層次化結(jié)構(gòu)化設(shè)計(jì)方面,表現(xiàn)了強(qiáng)大的生命力和應(yīng)用潛 力。 FPGA/CPLD 作為新一代工業(yè)控制器,以其高可靠性和技術(shù)先進(jìn)性,在電梯控制中得到廣泛應(yīng)用,從而使電梯由傳統(tǒng)的繼電器控制方式發(fā)展為計(jì)算機(jī)控制的一個(gè)重要方向,成為當(dāng)前電梯控制和技術(shù)改造的熱點(diǎn)之一。在利用 EDA 進(jìn)行集成電路設(shè)計(jì)時(shí),應(yīng)采用高效率的 TOPDOWN 設(shè)計(jì)方法,即根據(jù)系統(tǒng)的行為和功能要求,自上而下地依次完成相應(yīng)的描述、綜合、優(yōu)化、仿真與驗(yàn)證,直到生成器件。在對(duì)一個(gè)設(shè)計(jì)實(shí)體定義了外部界面后,一旦其內(nèi)部開發(fā)完成后,其他的設(shè)計(jì)就可以直接調(diào)用這個(gè)實(shí) 體。 大規(guī)??删幊踢壿嬈骷? 可編程邏輯器件 (簡稱 PLD)是一種由用戶編程以實(shí)現(xiàn)某種邏輯功能的新型邏輯器件。 可編程控制器是計(jì)算機(jī)技術(shù)與自動(dòng)化控制技術(shù)相結(jié)合而開發(fā)的一種適用工業(yè)環(huán)境的新型通用自動(dòng)控制裝置,是作為傳統(tǒng)繼電器的替換產(chǎn)品而出現(xiàn)的。 次級(jí)設(shè)計(jì)單元 ―― 結(jié)構(gòu)體 (architecture),實(shí)體的結(jié)構(gòu)體具有描述實(shí)體的行為功能,一個(gè)實(shí)體可以有多個(gè)結(jié)構(gòu)體,一種可能為行為描述,另一種為結(jié)構(gòu)描述。因而這不是理想的方案。 電梯運(yùn)行過程中,由于用戶的請(qǐng)求信號(hào)的輸入是離散的,而且系統(tǒng)對(duì)請(qǐng)求的響應(yīng)也是離散的,因此請(qǐng)求信號(hào)的存儲(chǔ)要求新的請(qǐng)求信號(hào)不能覆蓋原來的請(qǐng)求信號(hào),只有響應(yīng)動(dòng)作完成后才能清除存儲(chǔ)器內(nèi)對(duì)應(yīng)的請(qǐng)求信號(hào)位。 (2)芯片速度 隨著可編程邏輯器件集成技術(shù)的不斷提高, fpga 的工作速度也在不斷的提高,在對(duì)系統(tǒng)的具體設(shè)計(jì)中,應(yīng)該對(duì)芯片速度的選擇有一定的考慮,芯片的速度也并不是越快越好。 nCE 下載鏈器件始能輸入,連接到上一個(gè)器件的 nCEO,下載鏈的最后一個(gè)器 件 nCE 接地。 本設(shè)計(jì)采用 JTAG接口配置,通過 ByteBlaster 電纜直接編程到器件中。 10%左右的波動(dòng) )、負(fù)載和溫度 的變化而變化。電 路包含 1 個(gè)齊納調(diào)節(jié)的帶隙參考電壓以確保輸出電壓的精度在 177。共陰數(shù)碼管在應(yīng)用時(shí)應(yīng)將公共極 COM 接到地線 GND 上,當(dāng)某一字段發(fā)光二極管的陽極為高電平時(shí),相應(yīng)字段就點(diǎn)亮。它包括兩個(gè)主要部分:即組合邏輯部分和寄存器。它們是等價(jià)的,相互之間可以轉(zhuǎn) 換。 電梯接收到請(qǐng)求信號(hào)后,都必須作預(yù)操作。 use 。 電機(jī)控制信號(hào)和電梯運(yùn)動(dòng) end dianti。 c_u55amp。 ( C)電梯控制程序仿真局部放大圖 2 ,響應(yīng)請(qǐng)求( d_6),開門卸客,進(jìn)入預(yù)備下降狀態(tài) 2.電梯下降經(jīng)過五樓四樓都不停,到達(dá)三樓開門卸客,電梯進(jìn)入預(yù)備下降狀態(tài)。因此編程時(shí)要注意在選中某個(gè)片子之前,要先將計(jì)算出的數(shù)據(jù)信號(hào)先放到數(shù)據(jù)總線上。 use 。 end dianti。then q1=0。139。q2=q2+1。then if deng=39。 door=00。 elsif dd_cc000001then en_up=39。then d22=39。139。039。139。c_d33=39。 elsif d33=39。139。139。 elsif dd_cc000010then en_dw=39。 if updown=39。then d11=39。039。q1=q1+1。 elsif en_up=39。 else door=00。 signal en_up,en_dw: std_logic。 led_c_u: out std_logic_vector(5 downto 0)。 在本次論文設(shè)計(jì)過程中, 孫 老師對(duì)該論文從選題,構(gòu)思到最后定稿的各個(gè)環(huán)節(jié)給予細(xì)心指引與教導(dǎo) ,使我得以最終完成畢業(yè)論文設(shè)計(jì)。在單個(gè)實(shí)現(xiàn)各個(gè)模塊功能時(shí)比較簡單。39。d33amp。 電梯所在樓層顯示 led_c_u:out std_logic_vector(9 downto 0)。此時(shí) ,電梯就進(jìn)入預(yù)下降狀態(tài),準(zhǔn)備作下降運(yùn)行。在一般狀態(tài)機(jī)的設(shè)計(jì)過程中,為了能獲得可綜合的,高效的 VHDL狀態(tài)機(jī)描述,建議使用枚舉類數(shù)據(jù)類型來定義狀態(tài)機(jī)的狀態(tài),并使用多進(jìn)程方式來描述狀態(tài)機(jī)的內(nèi)部邏輯。 在數(shù)字系統(tǒng)中.那些輸出取決于過去的輸入和當(dāng)前的輸入的部分都可以作為有限狀態(tài)機(jī)。有限狀態(tài)機(jī)的優(yōu)點(diǎn)在于簡單易用,狀態(tài)間的關(guān)系清晰直觀。 樓層顯示 到達(dá)樓層顯示要選用 LED 數(shù)碼管 顯示器 。 1117 是一個(gè)低壓差電壓調(diào)節(jié)器系列。 通過整流后,電源的脈動(dòng)成分較大。配置數(shù)據(jù)通過 DATA0 引腳送入 FPGA。 DCLK FPGA 串行時(shí)鐘輸出,為配置器件提供串行時(shí)鐘。完全可以滿足人們的需要,而且效率比較高 本系統(tǒng)具有請(qǐng)求信號(hào)顯示功能,結(jié)合方向顯示,可以減少用戶對(duì)同一請(qǐng)求的輸入次數(shù),這樣就延長了電梯按鍵的使用壽命。 ( 3)有效的防止樓層到達(dá)信號(hào)、外部請(qǐng)求信號(hào)的誤判。 設(shè)計(jì)思想及模塊化 設(shè)計(jì)輸入 設(shè)計(jì)實(shí)現(xiàn) 設(shè)計(jì)仿真 器件編程 系統(tǒng)測試 Pout = 0100%。 EDA 技術(shù)使得設(shè)計(jì)者的工 作僅限于利用軟件的方式,即利用硬件描述語言和 EDA 軟件來完成對(duì)系統(tǒng)硬件功能的實(shí)現(xiàn)。 與 ASIC 設(shè)計(jì)相比, FPGA/CPLD 顯著的優(yōu)勢是開發(fā)周期短、投資風(fēng)險(xiǎn)小、產(chǎn)品上市速度快、市場適應(yīng)能力強(qiáng)和硬件升級(jí)回旋余地大,而且當(dāng)產(chǎn)品定型和產(chǎn)量擴(kuò)大后,可將在生產(chǎn)中達(dá)到充分檢驗(yàn)的 VHDL 設(shè)計(jì)迅速實(shí)現(xiàn) ASIC投產(chǎn)。 VHDL 語言的開發(fā) 1)語句結(jié)構(gòu)描述中方括號(hào)“ []”內(nèi)的內(nèi)容為可選內(nèi)容。 1987 年底, VHDL 被IEEE 和國美國國防部卻認(rèn)為標(biāo)準(zhǔn)硬件描述語言。基于 EDA 技術(shù)開發(fā)的實(shí)現(xiàn) 六層電梯自動(dòng)控制與目前主流的利用可編程邏輯控制器實(shí)現(xiàn)電梯控制緊密相連。微機(jī)控制系統(tǒng)雖在智能控制方面有較強(qiáng)的功能,但也存在抗擾性差,系統(tǒng)設(shè)計(jì)復(fù)雜,一般維修人員難以掌握其維修技術(shù)等缺陷。 本設(shè)計(jì) 介紹了基于 VHDL 語言設(shè)計(jì)的電梯控制器,并進(jìn)行了電路綜合和仿真。 電梯設(shè)計(jì)的要求 1)每層電梯入口處設(shè)有上下請(qǐng)求開關(guān)各 1個(gè),電梯內(nèi)設(shè)有乘客到達(dá)層次的數(shù)字開關(guān)。在電路描述時(shí)主要采用硬件描述語言( HDL)。這種將設(shè)計(jì)實(shí)體分成內(nèi)外部分的概念是 VHDL 系統(tǒng)設(shè)計(jì)的基本點(diǎn)。 FPGA 和 CPLD 分別是現(xiàn)場可編程門陣列和復(fù)雜可編程邏輯器件的簡稱。隨著微電子技術(shù)和計(jì)算機(jī)技術(shù)的迅猛發(fā)展,可編程控制器更多地具有了計(jì)算機(jī)的功能,不僅能實(shí)現(xiàn)邏輯控制,還具有了數(shù)據(jù)處理、通信、網(wǎng)絡(luò)等功能。結(jié)構(gòu)體能以行為、數(shù)據(jù)流和結(jié)構(gòu)等多種方式描述實(shí)體。 方向優(yōu)先控制是指電梯運(yùn)行到某一樓層時(shí)先考慮這一樓層是否有請(qǐng)求:有,則停止; 無,則繼續(xù)前進(jìn)。 . 基于 FPGA 的中央處理模塊 中央數(shù)據(jù)處理模塊是系統(tǒng)的核心,通過對(duì)存儲(chǔ)的數(shù)據(jù)(含請(qǐng)求、到達(dá)樓層等信號(hào))進(jìn)行比較、判斷以驅(qū)動(dòng)系統(tǒng)狀態(tài)的流轉(zhuǎn)。速度選擇應(yīng)與所設(shè)計(jì)的系統(tǒng)的最高工作速度保持一致。 nCNFIG 用戶模式配置起始信號(hào)。 該 系列產(chǎn)品的配置信息是存放在芯片內(nèi)的 SRAM 中,當(dāng)?shù)綦姾螅渲眯畔⑷縼G失,所以這些配置信息需要存放在其它 EPROM 中, ALTERA 公司提供了與該系列芯片配套使用的 EPROM。因而在全波整流、濾波之后,還需接入集成三端穩(wěn)壓器進(jìn)行穩(wěn)壓,使輸出的直流電壓能穩(wěn)定在 Vo=+5V。1% 以內(nèi)。當(dāng)某一字段的陽極為低電平時(shí),相應(yīng)字段就不亮。組合邏輯部分又可分為狀態(tài)譯碼器和輸出譯碼器,狀態(tài)譯碼器確定狀態(tài)機(jī)的下一個(gè)狀態(tài),即確定狀態(tài)機(jī)的激勵(lì)方程,輸出譯狀態(tài)譯碼器 狀態(tài)寄存器 輸 出譯碼器 碼器確定狀態(tài)機(jī)的輸出,即確定狀態(tài)機(jī) 的輸出方程。 狀態(tài)轉(zhuǎn)移圖 圖中每個(gè)橢圓表示狀態(tài)機(jī)的一個(gè)狀態(tài),而箭頭表示狀態(tài)之間的一個(gè)轉(zhuǎn)換,引起轉(zhuǎn)換的輸入信號(hào)及當(dāng)前輸出表示在轉(zhuǎn)換箭頭上。使電梯進(jìn)入預(yù)上升狀態(tài)的請(qǐng)求信號(hào)就是上升請(qǐng)求信號(hào)。 use 。 控制器中的構(gòu)造體的各個(gè)信號(hào)定義: signal q1:integer range 0 to 6。c_u44amp。
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1