freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda課程設計彩燈控制器-在線瀏覽

2024-10-25 04:36本頁面
  

【正文】 號 使選擇器的輸出為“0”。Inp[1..0]:輸入信號 接4進制計數(shù)器的輸出用來控制選擇器的選擇不同的輸入選擇不同的輸出。圖324 4選1選擇器5)4進制計數(shù)器模塊4進制計數(shù)器作為選擇器的輸入來控制選擇器選擇不同的頻率作為輸出控制揚聲器工作。Rst:輸入信號 復位信號 使計數(shù)器的輸出為“00”。圖 331 系統(tǒng)功能模塊這次的EDA課程設計有一周的時間,在這一周的時間里我們充分合理的安排了自己的時間來使本次的課程設計能夠順利的完成,當然我們在本次的設計中并不是一帆風順的,我們遇到了一些的問題,例如我們開始時用的文本的方式用一個總的程序來完成,可以在設計的過程中我們發(fā)現(xiàn)程序編到后面變量越到很容易搞混淆同時各個進程間的聯(lián)系也越來越模糊以至于后面我們自己都不知道程序的整體框圖是什么,導致后面不能夠繼續(xù)下去,后面我們再一次對我們這次的設計題目進行了分析和整理,最后我和我的同伴決定采用分模塊的方式來完成本次的課題設計,當然最重要的是分析各個模塊間的關系。最后我們的設計很成功,仿真和硬件測試都是正確的,實現(xiàn)了我們的設計要求和目的??偟膩碚f,通過這次課程設計不僅鍛煉了我們的動手和動腦能力,也使我懂得了理論與實際相結合的重要性,只有理論知識是遠遠不夠的,要把所學的理論知識與實踐相結合起來,才能提高自己的實際動手能力和獨立思考的能力。6附錄一 程序:分頻器模塊LIBRARY ieee。ENTITY fenpinqi ISPORT(clk,rst : IN std_logic。END fenpinqi。begin if rst=39。 thenclk_4復位信號控制部分 else if clk39。139。clk_4elsea:=a+1。end if。end process p1。begin if rst=39。 thenclk_6復位信號控制部分else if clk39。139。clk_6elseb:=b+1。end if。end process p2。begin if rst=39。 thenclk_8else if clk39。139。clk_8elsec:=c+1。end if。end process p3。begin if rst=39。 thenclk_10else if clk39。139。clk_10else復位信號控制部分7復位信號控制部分d:=d+1。end if。end process p4。4選1選擇器LIBRARY ieee。ENTITY xzq4_1 ISPORT(rst:in std_logic。in1,in2,in3,in4 : In std_logic。END xzq4_1。139。end case。END PROCESS。彩燈控制模塊LIBRARY ieee。ENTITY caideng ISPORT(input : IN INTEGER RANGE 0 TO 31。output : OUT std_logic_vector(7 downto 0)。END caideng。139。end case。end process。32進制計數(shù)器模塊LIBRARY ieee。ENTITY counter_32 ISPORT(clk,rst : IN std_logic。END counter_32。BEGINIF rst=39。 THENtemp:=0。event and clk=39。)THENtemp:=temp+1。end if。count_outEND a。USE 。count_out : OUT integer range 0 to 3)。ARCHITECTURE a OF counter_4 IS BEGINPROCESS(rst,clk)variable temp:integer range 0 to 32。139。ELSIF(clk39。139。if(temp=4)thentemp:=0。END IF。2.增強自己實際動手能力,獨立解決問題的能力。設計完成后,通過仿真驗證與設計要求進行對比,檢驗設計是否正確。三種花型分別是:(1)8路彩燈分成兩半,從左至右順次漸漸點亮,全亮后則全滅。(3)8路彩燈從左至右按次序依次點亮,全亮后逆次序依次熄滅。二選一模塊:選擇兩種頻率中的一個控制彩燈的花型。系統(tǒng)硬件單元電路設計 實驗程序:library ieee。entity fenpin2 isport(clk:in std_logic。end fenpin2。039。event and clk=39。 thenclkk1:= not clkk1。clkkend process。RTL電路圖:波形圖: 實驗程序:library ieee。entity mux21 is port(a,b,s:in std_logic。end mux21。039。use 。entity color8 is port(clk,rst :in std_logic。end。begin process(s,clk)begin if rst=39。 then ssqqqqwhen “00100”=qqqqqqqqqqqqqqqqqqqqqqqqqqnull。end if。end。use 。clkk:out std_logic)。architecture behav of fenpin2 is beginprocess(clk)variable clkk1:std_logic:=39。beginif clk39。139。clkkend process。library ieee。entity mux21 is port(a,b,s:in std_logic。end mux21。039。use 。entity color8 is port(clk,rst :in std_logic。end。begin process(s,clk)begin if rst=39。 then ssqqqqqqqqqqqqwhen “01100”=qqqqqqqqqqqqqqqqqqnull。end if。end。use 。entity balucaideng is port(clk,s,rst:in std_logic。end。ponent fenpin2port(clk:in std_logic。end ponent。y:out std_logic)。ponent color8 port(clk,rst :in std_logic。end ponent。u2: mux21 port map(a=h0,b=clk,s=s。u3: color8 port map(clk=h1,rst=rst,q=q)。波形圖:六、實驗總結第四篇:彩燈控制器eda課程設計(定稿)目錄..............................................................3 .........................................................5 EDA技術介紹..................................................5 ..................................................5 3 Quartus II介紹..................................................8 Quartus II軟件介紹..........................................8 Quartus II軟件界面介紹......................................9 代碼輸入界面..............................................9 編譯界面..................................................9 波形仿真界面.............................................10 4 系統(tǒng)設計.........................................................12 設計過程.....................................................12 設計內(nèi)容及要求...........................................12 輸入與輸出說明...........................................12 設計過程思路分析.........................................12 程序分析及仿真...............................................13 .........................................13 顯示電路模塊...........................................14 發(fā)聲電路模塊...........................................17 程序仿真圖...................................................17 5 下載.............................................................19 芯片選定.....................................................19 .....................................................19 程序下載.....................................................20 結果顯示.....................................................20課程設計說明書 設計總結.........................................................22 參考文獻...........................................................23 附錄:源代碼程序...................................................24課程設計說明書伴隨著計算機、集成電路和電子設計技術的發(fā)展,當今社會是數(shù)字化的社會,也是數(shù)字集成電路廣泛應用的社會,數(shù)字本身在不斷的進行更新?lián)Q代。EDA技術在過去的幾十年里取得了巨大的進步。如今,EDA軟件工具已經(jīng)成為電子信息類產(chǎn)品的支柱產(chǎn)業(yè)。可以說電子EDA技術已經(jīng)成為電子工業(yè)領域不可缺少的技術支持。因此設計者可以不必了解硬件結構。而EDA技術就是以微電子技術為物理層面,現(xiàn)代電子設計為靈魂,計算機軟件技術為手段,最終形成集成電子系統(tǒng)或?qū)S眉呻娐稟SIC為目的的一門新興技術。1987年底,VHDL被美國國防部確認為標準硬件描述語言。1993年,IEEE對VHDL進行了修訂,從更高的抽象層次和系統(tǒng)描述能力上擴展VHDL的內(nèi)容,公布了新版本的VHDL,即IEEE標準的10761993版本。有專家認為,在新的世紀中,VHDL于Verilog語言將承擔起大部分的數(shù)字系統(tǒng)設計任務。當然在一些實力較為雄厚的單位,它也被用來設計ASIC。利用它進行產(chǎn)品開發(fā),不僅成本低、周期短、可靠性高,而且具有完全的知識產(chǎn)權。此程序通過下載到特定芯片后,可應用于實際的控制器系統(tǒng)中。首先,本文介紹了QuartusII ,采用VHDL 硬件描述語言描述自動售貨機控制器,完成對電路的功能仿真;在設計過程中,重點探討了彩燈控制器設計的設計思路和功能模塊劃分;然后,初步探討了電路邏輯綜合的原理,該軟件對彩燈控制器設計電路進行了邏輯綜合;最后,使用EDA實驗開發(fā)系統(tǒng)進行電路的下載和驗證,驗證結果表明設計的彩燈控制器設計完成了預期的功能。EDA技術是以計算機為工具,根據(jù)硬件描述語言HDL(Hardware Desc
點擊復制文檔內(nèi)容
環(huán)評公示相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1