freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

集成電路綜合課程設(shè)計(jì)-在線瀏覽

2025-08-10 12:04本頁(yè)面
  

【正文】 design entry read_verilog ../rtl/ check_design current_design set_max_area 1000 set_min_area setup operating conditions ,wire load, clocks,reset create_clock period 10 waveform{0 5} [get_ports CLOCK] create_clock period 20 [get_ports wclk] create_clock period 10 [get_ports rclk] set_dont_touch_work [get_clocks wclk] set_dont_touch_work [get_clocks rclk] set_dont_touch_work [list CLOCK RESET_N] set_operating_conditions max WCIND min WCCOM set_wire_load_model name 10x10 set_wire_load_mode enclosed set_clock_latency [get_clocks wclk] set_clock_latency [get_clocks rclk] set_clock_uncertainty setup hold [get_clocks wclk] set_clock_uncertainty setup hold [get_clocks rclk] useful mands report_port verbose report_clock reset_design list_libs remove_design all remove_design design list_fileslists all files in DC memory list_designs list_license input drives set_driving_cell lib_cell AN2 [get_ports wdata] set_drive 0 [list RESET_N] output load set_load 5 [all_outputs] set input amp。對(duì)同 步異步信號(hào)有了較深的理解,以及怎樣通過(guò)看 RTL和描述語(yǔ)言作對(duì)比,找出問(wèn)題的所在,此方法對(duì)于小的設(shè)計(jì)及有幫助。整理好設(shè)計(jì)方案、思想等。 附件: 門級(jí)網(wǎng)表: module fifo ( wdata, full, winc, wclk, wrst_n, rdata, rinc, empty, rclk, rrst_n )。 output [8:0] rdata。 output full, empty。 wire [2:0] w1_rptr。 wire [2:0] wbnext。 wire [2:0] wptr。 wire [2:0] r1_wptr。 wire [2:0] rgnext_r。 wire [2:0] rgnext。b0。 FD2 \wptr_reg[1] ( .D(wgnext[1]), .CP(wclk), .CD(wrst_n), .Q(wptr[1]) )。 FD2 \wbin_reg[2] ( .D(wbnext[2]), .CP(wclk), .CD(wrst_n), .Q(wbin[2]) )。 FD2 \r1_wptr_reg[1] ( .D(wptr[1]), .CP(rclk), .CD(rrst_n), .Q(r1_wptr[1]) )。 FD2 \r2_wptr_reg[2] ( .D(r1_wptr[2]), .CP(rclk), .CD(rrst_n), .QN(n38) )。 FD2 \r2_wptr_reg[0] ( .D(r1_wptr[0]), .CP(rclk), .CD(rrst_n), .QN(n37) )。 FD1 \rgnext_r_reg[2] ( .D(rgnext[2]), .CP(rclk), .Q(rgnext_r[2]) )。 FD2 \w1_rptr_reg[2] ( .D(rptr[2]), .CP(wclk), .CD(wrst_n), .Q(w1_rptr[2]) )。 FD2 \rbin_reg[2] ( .D(rgnext[2]), .CP(rclk), .CD(rrst_n), .Q(\rbin[2] ) )。 FD2 \rbin_reg[1] ( .D(rbnext_r[1]), .CP(rclk), .CD(rrst_n), .Q(N6), .QN( n163) )。 FD2 \rptr_reg[1] ( .D(rgnext_r[1]), .CP(rclk), .CD(rrst_n), .Q(rptr[1]) )。 FD2 \w2_rptr_reg[1] ( .D(w1_rptr[1]), .CP(wclk), .CD(wrst_n), .QN(n40) )。 FD2 \rbin_reg[0] ( .D(rbnext_r[0]), .CP(rclk), .CD(rrst_n), .Q(N5), .QN( n178) )。 FD2 \rptr_reg[0] ( .D(rgnext_r[0]), .CP(rclk), .CD(rrst_n), .Q(rptr[0]) )。 FD2 \w2_rptr_reg[0] ( .D(w1_rptr[0]), .CP(wclk), .CD(wrst_n), .QN(n41) )。 FD1 \fifomem_reg[0][6] ( .D(n97), .CP(wclk), .Q(\fifomem[0][6] ), .QN(n72) )。 FD1 \fifomem_reg[0][4] ( .D(n99), .CP(wclk), .Q(\fifomem[0][4] ), .QN(n70) )。 FD1 \fifomem_reg[0][2] ( .D(n101), .CP(wclk), .Q(\fifomem[0][2] ), .QN(n68) )。 FD1 \fifomem_reg[0][0] ( .D(n103), .CP(wclk), .Q(\fifomem[0][0] ), .QN(n66) )。 FD1 \fifomem_reg[1][6] ( .D(n105), .CP(wclk), .Q(\fifomem[1][6] ), .QN(n64) )。 FD1 \fifomem_reg[1][4] ( .D(n107), .CP(wclk), .Q(\fifomem[1][4] ), .QN(n62) )。 FD1 \fifomem_reg[1][2] ( .D(n109), .CP(wclk), .Q(\fifomem[1][2] ), .QN(n60) )。 FD1 \fifomem_reg[1][0] ( .D(n111), .CP(wclk), .Q(\fifomem[1][0] ), .QN(n58) )。 FD1 \fifomem_reg[2][6] ( .D(n113), .CP(wclk), .Q(\fifomem[2][6] ), .QN(n56) )。 FD1 \fifomem_reg[2][4] ( .D(n115), .CP(wclk), .Q(\fifomem[2][4] ), .QN(n54) )。 FD1 \fifomem_reg[2][2] ( .D(n117), .CP(wclk), .Q(\fifomem[2][2] ), .QN(n52) )。 FD1 \fifomem_reg[2][0] ( .D(n119), .CP(wclk), .Q(\fifomem[2][0] ), .QN(n50) )。 FD1 \fifomem_reg[3][6] ( .D(n121), .CP(wclk), .Q(\fifomem[3][6] ), .QN(n48) )。 FD1 \fifomem_reg[3][4] ( .D(n123), .CP(wclk), .Q(\fifomem[3][4] ), .QN(n46) )。 FD1 \fifomem_reg[3][2] ( .D(n125), .CP(wclk), .Q(\fifomem[3][2] ), .QN(n44) )。 FD1 \fifomem_reg[3][0] ( .D(n127), .CP(wclk), .Q(\fifomem[3][0] ), .QN(n42) )。 FD1 \rdata_r_reg[0] ( .D(n89), .CP(rclk), .Q(rdata[0]), .QN(n74) )。 FD1 \rdata_r_reg[2] ( .D(n87), .CP(rclk), .Q(rdata[2]), .QN(n76) )。 FD1 \rdata_r_reg[4] ( .D(n85), .CP(rclk), .Q(rdata[4]), .QN(n78) )。 FD1 \rdata_r_reg[6] ( .D(n83), .CP(rclk), .Q(rdata[6]), .QN(n80) )。 OR2 U68 ( .A(full), .B(winc), .Z(n20) )。 AN3 U75 ( .A(n34), .B(n35), .C(n36), .Z(empty_val) )。 EOI U4 ( .A(rbnext_r[1]), .B(rbnext_r[0]), .Z(rgnext[0]) )。 EOI U71 ( .A(wbnext[2]), .B(wbnext[1]), .Z(wgnext[1]) )。 EOI U73 ( .A(wgnext[0]), .B(n41), .Z(n31) )。 EOI U76 ( .A(rgnext_r[2]), .B(n38), .Z(n36) )。 EOI U78 ( .A(rgnext_r[0]), .B(n37), .Z(n34) )。 FD2 \wbin_reg[0] ( .D(wbnext[0]), .CP(wclk), .CD(wrst_n), .Q(wbin[0]), .QN( n189) )。 MUX21L U80 ( .A(n81), .B(n170), .S(n128), .Z(n82) )。 MUX21L U82 ( .A(n80), .B(n171), .S(n128), .Z(n83) )。 MUX21L U84 ( .A(n79), .B(n172), .S(n128), .Z(n84) )。 MUX21L U86 ( .A(n78), .B(n173), .S(n128), .Z(n85) )。 MUX21L U88 ( .A(n77), .B(n174), .S(n128), .Z(n86) )。 MUX21L U90 ( .A(n76), .B(n175), .S(n128), .Z(n87) )。 MUX21L U92 ( .A(n136), .B(n137), .S(n164), .Z(N20) )。 MUX21L U94 ( .A(n134), .B(n135), .S(n164), .Z(N21) )。 IVI U96 ( .A(N5), .Z(n133) )。 NR3 U98 ( .A(n20), .B(n189), .C(n188), .Z(n29) )。 NR3 U100 ( .A(n20), .B(wbin[0]), .C(n188), .Z(n26) )。 NR3 U102 ( .A(n20), .B(wbin[1]), .C(n189), .Z(n23) )。 NR3 U104 ( .A(n20), .B(wbin[0]), .C(wbin[1]), .Z(n10) )。 AO4 U106 ( .A(n43), .B(n165), .C(n185), .D(n129), .Z(n126) )。 AO4 U108 ( .A(n45), .B(n165), .C(n183), .D(n1
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1