freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

多功能數(shù)字時鐘的設計eda課程設計報告-文庫吧資料

2024-08-16 02:54本頁面
  

【正文】 when 0111=keyr=1111111111111111。 when 0101=keyr=1101111011101111。 when 0011=keyr=1101101111110111。20 when 0001=keyr=1100111110011111。 y=year10*10+year1。 process(s,cdount) begin m=Month10*10+Month1。 keyc=cdount。 end if。 if cdount15 then cdount=cdount+1。 else s=S。 end if。 if S=15 then S=0000。 then dount=dount+1。event and clk=39。 end process。 when others=Disp_Decode=00000000。 8 when 9=Disp_Decode=01101111。 6 when 7=Disp_Decode=00000111。 4 when 5=Disp_Decode=01101101。 2 when 3=Disp_Decode=01001111。 0 when 1=Disp_Decode=00000110。 end process。 Display=Disp_Decode。139。 process(Clk) begin if(Clk39。 end if。 when 111=Disp_Temp=SEC1。 when 101=Disp_Temp=10。 when 011=Disp_Temp=MIN10。 when 001=Disp_Temp=HOUR1。039。 end case。 when 110=Disp_Temp=Day10。 when 100=Disp_Temp=Month1。 when 010=Disp_Temp=10。) then case (SEG_SEL+1) is when 000=Disp_Temp=Year10。 process(SEG_SEL) begin if (K1 = 39。 led=led_display。 when others=led_display=0000。 when 100=led_display=0101。 when 010=led_display=0110。 process(led_count) begin case (led_count) is when 000=led_display=0000。 end if。 else led_count=000。139。 process(Clk) begin if(Clk1hz39。 end if。039。 elsif(MIN10=0 and MIN1=0 and SEC10=0 and SEC1=0) then SPK=Music_Count(1)。039。 if(MIN10=5 and MIN1=9 and SEC10=5 and SEC1=0) then 在59分50秒開始提示 if((SEC1 MOD 2)=0) then 在偶數(shù)秒開始發(fā)聲 SPK=Music_Count(2)。139。 process(Clk) begin if(Clk39。 end if。 else SEC1=SEC1+1。 else SEC10=SEC10+1。 else MIN1=MIN1+1。 else MIN10=MIN10+1。 HOUR10 = HOUR10 + 1。 elsif (HOUR1 9) then HOUR1 = HOUR1 + 1。 Day1 = 0。 if (Day1 9) then Day1 = Day1 + 1。 end if。 elsif (Month1 = 9) then Month10 = Month10 + 1。 end if。 Year1 = 0。 if (Year1 9) then Year1 = Year1 + 1。 Year1 = 0。 Year += 1。 if (Month10 = 1 and Month1 = 2) then Month10 = 0。 Day1 = 1。 Day += 1。 if (HOUR10 = 2 and HOUR1 = 3) then HOUR10 = 0。 if(MIN1=9) then MIN1=0。 if(SEC1=9) then SEC1=0。 end if。 else MIN1=MIN1+1。 else MIN10=MIN10+1。 if(MIN10=5) then MIN10=0。039。 end if。 Day1=Day1+1。 elsif(HOUR10=2 and HOUR1=3) then HOUR1=0。) then 調(diào)節(jié)小時 if(HOUR1=9) then HOUR1=0。) then if(S1=39。 elsif (K1 = 39。 end if。 Month1=Month1+1。 elsif(Day10=3 and Day1=1) then Day1=0。) then 調(diào)節(jié)日 if(Day1=9) then Day1=0。 if(S5=39。 end if。 Year1=Year1+1。 elsif(Month10=1 and Month1=2) then Month1=0。) then 調(diào)節(jié)月 if(Month1=9) then Month1=0。 if(S4=39。 end if。 Year10=0。 Year10=Year10+1。039。139。139。 elsif(Clk1Hz39。 Day10 = 0。 Month10 = 0。 Year10 = 1。 HOUR1=0。 MIN1=0。) then 系統(tǒng)復位 SEC1=0。 process(Clk1Hz,S8) begin if(S8=39。 end process。 end if。) then if(Clk_Count110000) then Clk_Count1=Clk_Count1+1。event and Clk=39。 signal m,d,y : integer range 0 to 31。 signal dount : std_logic_vector(12 downto 0)。 signal led_display : std_logic_vector(3 downto 0)。 產(chǎn)生1Hz時鐘的分頻計數(shù)器 signal Clk1Hz : std_logic。 signal Music_Count : std_logic_vector(2 downto 0)。 signal Month1,Month10: integer range 0 to 9。 signal HOUR1,HOUR10 : integer range 0 to 9。 signal SEC1,SEC10 : integer range 0 to 9。architecture behave of zjh is signal Disp_Temp : integer range 0 to 15。 K1 : in std_logic 顯示切換 )。 七段碼管掃描驅(qū)動 keyc : out std_logic_vector(3 downto 0)。 整點輸報時輸出 Display : out std_logic_vector(7 downto 0)。 Change Year, Month and Day spk : out std_logic。 復位輸入 S1,S2 : in std_logic。entity zjh is port( Clk : in std_logic。use 。6 參考文獻1 《SOPCIIEDA實驗指導書》(第二版)2 《SOPCII使用手冊》(第二版)
點擊復制文檔內(nèi)容
醫(yī)療健康相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1