freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

多功能數(shù)字時鐘的設(shè)計eda課程設(shè)計報告-wenkub

2022-08-31 02:54:32 本頁面
 

【正文】 : in std_logic。use 。這次課程設(shè)計讓我可以熟練的掌握了Quartus II ,也了解了如何運用VHDL語言和FPGA芯片去解決實際問題,總之通過這次課程設(shè)計自己還是有了不小的收獲與提高。5 心得與體會 這次的EDA課程設(shè)計是我大學(xué)的第三次課程設(shè)計,由于剛開始設(shè)計思路不清晰,對Quartus II ,所以拿到設(shè)計題目后感覺不知所措,不知道如何開始。(1) 點擊File=New按鈕出現(xiàn)如圖所示對話框: (2) 選擇VHDL File完成文件創(chuàng)建,創(chuàng)建完成后如圖所示: 將編寫好的符合實驗要求的VHDL程序復(fù)制在上圖所示的對話框內(nèi),然后點擊Processing=Start Compilation按鈕開始進行編譯,編譯完成并確保程序無誤后開始進行管腳分配。 16*16點陣顯示模塊 16*16點陣顯示模塊 該模塊用于滿足實驗內(nèi)容中用16*16點陣動態(tài)顯示日期的要求。 數(shù)碼管顯示模塊 數(shù)碼管顯示模塊很顯然,此模塊是用來進行數(shù)碼管顯示的,先進行動態(tài)掃描,然后將程序中要求輸出的部分通過7段數(shù)碼管顯示出來。3 各模塊電路及其簡介 分頻器模塊 分頻器模塊因為本實驗選用頻率為10KHZ,對于秒表的計時和進行數(shù)碼管的動態(tài)掃描來說,頻率都太大了,因此要將10KHz進行分頻處理,本次設(shè)計將分成1Hz頻率。過程賦值可以有一個可選的時延。(4) 上述描述方式的混合。本書中的所有實例都遵守這一規(guī)范。說明部分用于定義不同的項,例如模塊描述中使用的寄存器和參數(shù)。一個設(shè)計的結(jié)構(gòu)可使用開關(guān)級原語、門級原語和用戶定義的原語方式描述。QuartusII的設(shè)計輸入、處理和校驗功能都集中在統(tǒng)一的開發(fā)環(huán)境下,這樣可以加快動態(tài)調(diào)試,縮短開發(fā)周期。 本次課題設(shè)計方要用到的開發(fā)環(huán)境是Altera公司的EDA設(shè)計工具軟件QuartusII。系統(tǒng)時鐘選擇時鐘模塊的10KHz,要得到1Hz時鐘信號,必須對系統(tǒng)時鐘進行10,000次分頻。 (3)熟悉并掌握基于EDA實驗開發(fā)系統(tǒng)設(shè)計實際問題的方法和步驟。通過學(xué)習(xí)的VHDL語言結(jié)合電子電路的設(shè)計知識理論聯(lián)系實際,掌握所學(xué)的課程知識,學(xué)習(xí)VHDL基本單元電路的綜合設(shè)計應(yīng)用。通過對實用數(shù)字鐘的設(shè)計,鞏固和綜合運用計算機原理的基本理論和方法,理論聯(lián)系實際,提高設(shè)計、分析、解決計算機技術(shù)實際問題的獨立工作能力。 (4)通過設(shè)計過程提高自己運用所學(xué)知識來分析解決問題的能力。調(diào)整時間的的按鍵用按鍵模塊的S1和S2,S1調(diào)節(jié)小時,每按下一次,小時增加一個小時,S2調(diào)整分鐘,每按下一次,分鐘增加一分鐘。Altera公司的工作與EDA廠家緊密結(jié)合,使QuartusII軟件可以與其它工業(yè)標準的設(shè)計輸入、綜合和校驗工具相連接。QuartusII軟件支持多種硬件描述語言設(shè)計輸入,包括VHDL,Verilog HDL和Altera自己的硬件描述語言AHDL。 設(shè)計的數(shù)據(jù)流行為使用連續(xù)賦值語句進行描述。語句定義設(shè)計的功能和結(jié)構(gòu)。在模塊中,可用下述方式描述一個設(shè)計:(1) 數(shù)據(jù)流方式。VHDL模型中的所有時延都根據(jù)時間單位定義。時延可以細分為兩種類型:(1) 語句間時延: 這是時延語句執(zhí)行的時延。 控制調(diào)節(jié)模塊 控制調(diào)節(jié)模塊 本模塊用于時間與日期的切換與時間的調(diào)節(jié)、日期的調(diào)節(jié)以及復(fù)位調(diào)節(jié),其中K1用于時間與日期的切換,SS2用于時間的調(diào)節(jié),SSS5用于日期的調(diào)節(jié),最后S8為復(fù)位按鍵。其中K1用于控制顯示部分是日期還是時間。可以看出,輸出為每一行的數(shù)據(jù),通過動態(tài)掃描之后就可以動態(tài)的顯示所有的16行數(shù)據(jù)。(1)點擊Assignments=Assignments Editor按鈕出現(xiàn)如圖所示對話框:(2) 再點擊List、OK按鈕出現(xiàn)如圖所示管腳分配對話框:(3) 然后按如下表所示的管腳順序進行分配:Display[0]LocationPIN_G16YesDisplay[1]LocationPIN_G17YesDisplay[2]LocationPIN_F18YesDisplay[3]LocationPIN_G18YesDisplay[4]LocationPIN_G15YesDisplay[5]LocationPIN_G14YesDisplay[6]LocationPIN_G12YesDisplay[7]LocationPIN_M21YesK1LocationPIN_AH12Yeskeyc[0]LocationPIN_L5Yeskeyc[1]LocationPIN_H6Yeskeyc[2]LocationPIN_H7Yeskeyc[3]LocationPIN_H5Yeskeyr[0]LocationPIN_C17Yeskeyr[1]LocationPIN_D15Yeskeyr[2]LocationPIN_D14Yeskeyr[3]LocationPIN_D13Yeskeyr[4]LocationPIN_D12Yeskeyr[5]LocationPIN_D10Yeskeyr[6]LocationPIN_C10Yeskeyr[7]LocationPIN_C9Yeskeyr[8]LocationPIN_D21Yeskeyr[9]LocationPIN_C21Yeskeyr[10]LocationPIN_D20Yeskeyr[11]LocationPIN_D19Yeskeyr[12]LocationPIN_C19Yeskeyr[13]LocationPIN_D18Yeskeyr[14]LocationPIN_C18Yeskeyr[15]LocationPIN_D17Yesled[0]LocationPIN_AE8Yesled[1]LocationPIN_J22Yesled[2]LocationPIN_M24Yesled[3]LocationPIN_L24YesS1LocationPIN_AF5YesS2LocationPIN_AH6YesS3LocationPIN_AH7YesS4LocationPIN_AH8YesS5LocationPIN_AG10YesS8LocationPIN_AG7YesSEG_SEL[0]LocationPIN_C22YesSEG_SEL[1]LocationPIN_D22YesSEG_SEL[2]LocationPIN_G9YesspkLocationPIN_L23ClkLocationPIN_A14Yes(4) 分配完成后再進行一次編譯以使管腳分配生效。但是通過一段時間的查閱資料和請教同學(xué)老師我發(fā)現(xiàn)設(shè)計多功能數(shù)字時鐘也并不十分困難,在他們的幫助與指導(dǎo)下我的課程設(shè)計也就順利的一步步展開。6 參考文獻1 《SOPCIIEDA實驗指導(dǎo)書》(第二版)2 《SOPCII使用手冊》(第二版)3 4 《EDA技術(shù)基礎(chǔ)》. 譚會生編著. 湖南大學(xué)出版社,20045 《EDA技術(shù)實用教程》(第三版),潘松、黃繼業(yè)編著 ,科學(xué)出版社 ,20107附錄附錄一 VHDL程序清單library ieee。entity zjh is port( Clk : in std_logic。 Change Year, Month and Day spk : out std_logic。 七段碼管掃描驅(qū)動 keyc : out std_logic_vector(3 downto 0)。architecture behave of zjh is signal Disp_Temp : integer range 0 to 15。 signal HOUR1,HOUR10 : integer range 0 to 9。 signal Music_Count : std_logic_vector(2 downto 0)。 signal led_display : std_logic_vector(3 downto 0)。 signal m,d,y : integer range 0 to 31。) then if(Clk_Count110000) then Clk_Count1=Clk_Count1+1。 end process。) then 系統(tǒng)復(fù)位 SEC1=0。 HOUR1=0。 Month10 = 0。 elsif(Clk1Hz39。139。 Year10=Year10+1。 end if。) then 調(diào)節(jié)月 if(Month1=9) then Month1=0。 Year1=Year1+1。 if(S5=39。 elsif(Day10=3 and Day1=1) then Day1=0。 end if。) then if(S1=39。 elsif(HOUR10=2 and HOUR1=3) then HOUR1=0。 end if。 if(MIN10=5) then MIN10=0。 else MIN1=MIN1+1。 if(SEC1=9) then SEC1=0。 if (HOUR10 = 2 and HOUR1 = 3) then HOUR10 = 0。 Day1 = 1。 Year += 1。 if (Year1 9) then Year1 = Year1 + 1。 end if。 end if。 Day1 = 0。 HOUR10 = HOUR10 + 1。 else MIN1=MIN1+1。 else SEC1=SEC1+1。 process(Clk) begin if(Clk39。 if(MIN10=5 and MIN1=9 and SEC10=5 and SEC1=0) then 在59分50秒開始提示 if((SEC1 MOD 2)=0) then 在偶數(shù)秒開始發(fā)聲 SPK=Music_Count(2)。
點擊復(fù)制文檔內(nèi)容
醫(yī)療健康相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1