freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda電梯控制器的-文庫(kù)吧資料

2025-07-13 11:45本頁(yè)面
  

【正文】 9。 有上升請(qǐng)求,則電梯進(jìn)入預(yù)備上升狀態(tài) end if。 opendoor=39。 有下降請(qǐng)求,則電梯進(jìn)入預(yù)備下降狀態(tài) elsif dd_cc000011 then en_up=39。 opendoor=39。 有當(dāng)前層的請(qǐng)求,則電梯進(jìn)入開(kāi)門(mén)狀態(tài) elsif dd_cc000010 then en_dw=39。opendoor=39。 c_d22=39。 then d22=39。 or c_d22=39。 電梯前一運(yùn)動(dòng)狀態(tài)為下降 elsif d22=39。039。139。039。139。139。039。039。139。139。139。 then led=0010010。 elsif g2=39。039。039。139。139。039。039。139。139。 then led=1001111。 if g1=39。 end if。 else q1=q1+1。 elsif q1=3 then door=01。down=39。updown=39。 then q1=3。 elsif quick=39。q1=0。139。139。 電梯進(jìn)入等待狀態(tài) end if。 電梯進(jìn)入關(guān)門(mén)狀態(tài) else q1=q1+1。 關(guān)門(mén)完畢,電梯進(jìn)入上升狀態(tài) elsif q1=3 then door=01。up=39。updown=39。 then q1=3。 關(guān)門(mén)中斷 elsif quick=39。q1=0。139。139。039。039。q2=0。 then door=10。 故障報(bào)警 else if opendoor=39。 if q2=3 then wahaha=39。alarm=39。 end if。 超載報(bào)警if q1=3 then door=10。139。139。039。q2=0。139。139。 預(yù)備上升、預(yù)備下降預(yù)操作使能信號(hào)begin:process(clk)beginif clk39。 開(kāi)門(mén)使能信號(hào)signal updown:std_logic。 看門(mén)狗計(jì)數(shù)器signal dd,cc_u,cc_d,dd_cc:std_logic_vector(5 downto 0)。 分頻信號(hào)signal q1:integer range 0 to 6。 電梯外人上升請(qǐng)求信號(hào)寄存信號(hào)signal c_d22,c_d33,c_d44,c_d55,c_d66:std_logic。architecture behav of dianti issignal d11,d22,d33,d44,d55,d66:std_logic。 電梯運(yùn)動(dòng)方向顯示,超載警告信號(hào)up,down : out std_logic )。 電梯內(nèi)請(qǐng)求信號(hào)顯示 wahaha : out std_logic。 電梯外人上升請(qǐng)求信號(hào)顯示 led_c_d:out std_logic_vector(5 downto 0)。 電梯門(mén)控制信號(hào) led : out std_logic_vector(6 downto 0)。 電梯內(nèi)人的請(qǐng)求信號(hào) g1,g2,g3,g4,g5,g6 : in std_logic。 電梯外人的上升請(qǐng)求信號(hào) c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic。 時(shí)鐘信號(hào)(頻率為2Hz) full,deng,quick,clr : in std_logic。use 。use 。二、設(shè)計(jì)要求根據(jù)系統(tǒng)設(shè)計(jì)要求,并考慮到系統(tǒng)的可驗(yàn)證性,整個(gè)系統(tǒng)的輸入輸出接口設(shè)計(jì)如symbol圖所示系統(tǒng)工作用2 Hz基準(zhǔn)時(shí)鐘信號(hào)clk,電梯外人的上升請(qǐng)求鍵c_u1,c_u2,c_u3,c_u4,c_u5,電梯外人的下降請(qǐng)求鍵c_d2,c_d3,c_d4,c_d5,c_d6,電梯內(nèi)人的請(qǐng)求鍵d1,d2,d3,d4,d5,d6,到達(dá)樓層信號(hào)g1,g2,g3,g4,g5,g6,電梯所在樓層數(shù)碼管顯示led[6..0]提前關(guān)門(mén)輸入鍵quick,延遲關(guān)門(mén)輸入鍵deng,超載按鈕full,清除報(bào)警按鈕clr,電梯門(mén)控制信號(hào)door,電梯外人上升請(qǐng)求信號(hào)顯示led_c_u[5..0],電梯外人下降請(qǐng)求信號(hào)顯led_c_d[5..0], 電梯內(nèi)請(qǐng)求信號(hào)顯示led_c_d, 看門(mén)狗報(bào)警信號(hào)wahaha,電梯運(yùn)動(dòng)方向顯示ud,超載警告信號(hào)alarm,電機(jī)控制信號(hào)up,電梯運(yùn)動(dòng)down。隨著EDA技術(shù)的快速發(fā)展,CPLD/FPGA已廣泛應(yīng)用于電子設(shè)計(jì)與控制的各個(gè)方面。對(duì)于電梯的控制,傳統(tǒng)的方法是使用繼電器—接觸器控制系統(tǒng)進(jìn)行控制,隨著技術(shù)的不斷發(fā)展,微型計(jì)算機(jī)在電梯控制上的應(yīng)用日益廣泛,現(xiàn)在已進(jìn)入全微機(jī)化控制的時(shí)代。結(jié)合電梯的基本功能,需要顯示給外界用戶(hù)看的信號(hào)有:電梯內(nèi)和電梯外上升下降的
點(diǎn)擊復(fù)制文檔內(nèi)容
法律信息相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1