【正文】
state=setmode。 end if。 else div_counter=0。 else if(div_counterdivss )then div_counter=div_counter +1。 counter=0。 flag=39。039。139。 elsif(clk_int39。039。 counter=0。039。 char_addr =conv_std_logic_vector( counter,6) when state =writeram and counter40 else conv_std_logic_vector( counter41+8,6) when state= writeram and counter40 and counter818 else conv_std_logic_vector( counter81+8,6) when state= writeram and counter818 and counter81 else 000000。font5x10 amp。 datawidth8 amp。left_shift amp。 blank_cur when state =switchmode else 0001 amp。 open_display amp。 cur_inc amp。139。039。039。139。 aa:char_ram port map( address=char_addr,data=data_in)。 end if。039。 elsif(clkdiv39。)then lcd_e=39。 process(clkdiv,reset) begin if(reset=39。 end if。139。 elsif(clkdiv39。)then clk_int=39。 process(clkdiv,reset) begin if(reset=39。 end process。)then clkdiv=not clkdiv。event and tc_clkt=39。039。039。039。139。 end process。 end if。)then if(clkt=divt)then clkt=0000000000000000000。event and clk=39。)then clkt=0000000000000000000。 begin process(clk,reset) begin if(reset=39。 signal clkdiv: std_logic。 signal clkt: std_logic_vector(18 downto 0)。 end ponent。 ponent char_ram port( address : in std_logic_vector(5 downto 0) 。 signal char_addr : std_logic_vector(5 downto 0)。 signal flag : std_logic。 signal counter : integer range 0 to 127。039。139。039。139。039。139。039。139。039。139。039。039。139。039。139。039。139。 constant readram : std_logic_vector(10 downto 0) :=10000000000。 constant readflag : std_logic_vector(10 downto 0) :=00100000000。 constant setcgram : std_logic_vector(10 downto 0) :=00001000000。