freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda技術(shù)的交通燈設(shè)計(jì)(參考版)

2024-11-16 15:32本頁(yè)面
  

【正文】 end package p_alarm。type t_clock_time is array(5 downto 0)of t_digital。package p_alarm issubtype t_digital is integer range 0 to 9。四、p_alarm程序包library ieee。 end process。 end if。 t:=t+1。 elsif(t(divide_period1))then clk=39。139。039。)then t:=0。 begin if(reset=39。architecture function of divider isconstant divide_period:t_short:=1000。 clk:out std_logic)。entity divider is port(clk_in:std_logic。use 。end function。end if。 r1=tr1。end if。elsif count1(3 downto 0)=0000 then count1=count17。139。139。label1:process(sub)beginif sub39。end if。 r2=tr2。 end if。elsif count2(3 downto 0)=0000 then count2=count27。139。139。label2:process(sub)beginif sub39。setstate1= 00100101when state=00else 00000101when state=01else 00100000。 else 39。or urgen=39。when state(1)=39。tr2=39。 else 39。when state=11and urgen=39。ty2=39。 else 39。when state=10and urgen=39。tg2=39。 else 39。or urgen=39。when state(1)=39。tr1=39。 else 39。when state=01and urgen=39。ty1=39。 else 39。when state=00and urgen=39。tg1=39。039。139。 else count1。and clk=39。beginled1=11111111when urgen=39。 signal setstate1,setstate2:std_logic_vector(7 downto 0)。end ledshow。 r1,g1,y1,r2,g2,y2:out std_logic。 state:in std_logic_vector(1 downto 0)。use 。二、顯示部分的VHDL程序library ieee。end process statelabel。end if。set2=39。else set1=39。139。 elsif count=45 then state=11。set2=39。set1=39。139。 elsif count=25 then state=01。set2=39。set1=39。end if。else subtemp=39。subtemp=39。039。139。 elsif clk39。then count=0000000。statelabel:process(reset,clk)beginif reset=39。 signal subtemp: std_logic。end ledcontrol。 tate:out std_logic_vector(1 downto 0)。use 。 最后,我衷心地祝福所有給予我?guī)椭睦蠋熀屯瑢W(xué),希望他們?cè)谝院蟮娜兆永锕ぷ黜樌?!學(xué)習(xí)進(jìn)步!再創(chuàng)輝煌!附件:交通燈設(shè)計(jì)中的各模塊程序一、控制部分的VHDL程序library ieee。在做畢業(yè)設(shè)計(jì)的整個(gè)過(guò)程中,都得到了趙年順老師的耐心指導(dǎo)和詳細(xì)解答,特別是在設(shè)計(jì)的初始階段,趙年順老師在需求分析方面給了我很大的幫助,在老師幫助和指導(dǎo)下,使我能很快地就確定了系統(tǒng)的目的和開(kāi)發(fā)方案,并且在后來(lái)的程序?qū)崿F(xiàn)方面給予了正確的引導(dǎo),使我少走了很多彎路,并提高了我的效率。而且VHDL語(yǔ)言對(duì)EDA 產(chǎn)生的影響也是深遠(yuǎn)的,它縮短了電子產(chǎn)品的設(shè)計(jì)周期,為設(shè)計(jì)者提供了方便。事實(shí)上這也與實(shí)際情況相符,因?yàn)榭紤]到道路行使中車(chē)速受限因素存在。特殊狀態(tài)可自行修改和添加,但總的前提是保持狀態(tài)二(南北東西均為紅燈) 的優(yōu)先級(jí)最高。通過(guò)課程設(shè)計(jì),發(fā)現(xiàn)了自己的很多不足,自己知識(shí)的很多漏洞,與此同時(shí)也學(xué)會(huì)了獨(dú)立克服困難的能力。與前面仿真的結(jié)果也一致。在其中設(shè)計(jì)開(kāi)始時(shí)間為0,結(jié)束時(shí)間為5us,周期為50ns。仿真結(jié)果與程序設(shè)計(jì)符合。仿真結(jié)果如下:圖37 交通燈顯示部分仿真圖一仿真結(jié)果與程序所要的結(jié)果一樣。圖36 交通燈控制部分仿真圖二 對(duì)交通燈顯示部分模塊進(jìn)行仿真在 MaxPlus II 軟件中導(dǎo)入交通燈顯示程序,對(duì)此程序編譯無(wú)錯(cuò)誤后,建立Vector waveform file 文件保存時(shí)仿真文件名要與設(shè)計(jì)文件名一致。039。039。139。count=45 then state=11。set2=39。set1=39。139。 count=25 state=01。set2=39。set1=39。039。state=00count=0000000。仿真結(jié)果如圖35:圖35 交通燈控制部分仿真圖一當(dāng)reset=39。圖34 分頻器部分的圖形 交通燈控制系統(tǒng)的仿真 對(duì)交通燈控制部分進(jìn)行仿真在MaxPlus II軟件中導(dǎo)入交通燈控制程序,對(duì)此程序編譯無(wú)錯(cuò)誤后,建立Vector waveform file 文件保存時(shí)仿真文件名要與設(shè)計(jì)文件名一致。 end if。 else t:=0。039。 t:=t+1。 elsif rising_edge(clk_in)then if(t=(divide_period/2))then clk=39。 clk=39。139。process(clk_in,reset) is variable t:t_short。 分頻器部分的設(shè)計(jì)分頻模塊通過(guò)分頻得到計(jì)數(shù)模塊的時(shí)鐘頻率,此頻率作為數(shù)碼管動(dòng)態(tài)顯示的掃描時(shí)鐘頻率。end if。 r1=tr1。end if。elsif count1(3 downto 0)=0000 then count1=count17。139。139。label1:process(sub)beginif sub39。end if。 r2=tr2。 end if。elsif count2(3 downto 0)=0000 then count2=count27。139。139。label2:process(sub)beginif sub39。圖32 控制部分的圖形 顯示部分的設(shè)計(jì) 顯示模塊包括數(shù)碼管動(dòng)態(tài)掃描電路和譯碼顯示電路,動(dòng)態(tài)掃描電路用于選擇需要顯示的數(shù)碼管,譯碼顯示電路用于將輸入的二進(jìn)制信息轉(zhuǎn)換為數(shù)碼管顯示編碼。end if。039。039。 elsif count=50 then count=0000000。set2=39。139。139。
點(diǎn)擊復(fù)制文檔內(nèi)容
教學(xué)課件相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1