freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的ps2鍵盤鼠標(biāo)控制電子琴(參考版)

2024-08-07 03:26本頁面
  

【正文】 參考文獻《數(shù)字電子技術(shù)基礎(chǔ)》潘明 潘松著 《EDA應(yīng)用技術(shù)》28。感謝所有關(guān)心、幫助過我們的老師、同學(xué)和朋友們。為未來的研究學(xué)習(xí)和工作奠定了基礎(chǔ)。(3)對集成電路方面的知識有了更深一層的認識。(2)對QUARTUS II軟件的操作更加熟練,對EDA技術(shù)的應(yīng)用有了更加深刻的了解。END PROCESS。END IF。ELSEspk=39。THENspk=39。IF count5=39。)THENEVENT AND fullclk=39。音頻信號輸出進程開始VARIABLE count5:STD_LOGIC:=39。 END PROCESS。END IF。fullclk=39。 fullclk=39。 IF count4tone2 THENclk3脈沖上升沿觸發(fā))THENEVENT AND clk3=39。BEGINS1ROCESS(clk3,tone2)VARIABLE count4:INTEGER RANGE 0 TO 2047。 驅(qū)動揚聲器的音頻信號END speaker。 tone2: IN INTEGER RANGE 0 TO 2047。 use 。use 。use 。END art。END CASE。 code1=0000000。 high1=100。WHEN00010101= tone1=253。code1=0100000。 high1=100。WHEN00010011= tone1=319。code1=1001100。 high1=100。WHEN00010001= tone1=379。code1=0010010。 high1=100。WHEN00001111= tone1=478。code1=0001111。 high1=010。WHEN00001101= tone1=568。code1=0100100。 high1=010。WHEN00001011= tone1=716。code1=0000110。 high1=010。WHEN00001001= tone1=851。code1=1001111。 high1=001。WHEN00000111= tone1=1012。 code1=0100000。 high1=001。WHEN00000101= tone1=1276。 code1=1001100。 high1=001。WHEN00000011= tone1=1517。 code1=0010010。 high1=001。architecture art of tone isbeginT1:process(index3)begincase index3 iswhen00000001= tone1=1191。 OUT INTEGER RANGE 0 TO 2047)。tone1:高低音顯示信號 OUT STD_LOGIC_VECTOR(6 DOWNTO 0)。code1: 音符輸入信號 Port (index3: IN STD_LOGIC_VECTOR(7 DOWNTO 0)。entity tone is Unment the following library declaration if instantiating any Xilinx primitives in this code.library UNISIM。use 。library IEEE。END PROCESS。 end case。 nwhen00111010=index2=00010101。vwhen00110010=index2=00010011。xwhen00100001=index2=00010001。jwhen00011010=index2=00001111。gwhen00110011=index2=00001101。dwhen00101011=index2=00001011。awhen00011011=index2=00001001。ywhen00111100=index2=00000111。rwhen00101100=index2=00000101。wwhen00100100=index2=00000011。 case index1 iswhen00010101=index2=00000001。END CASE。 2WHEN 31= index2=00000010。 3WHEN 29= index2=00000011。 4WHEN 27= index2=00000100。 5WHEN 25= index2=00000101。 2WHEN 23= index2=00000010。 4WHEN 21= index2=00000011。 4WHEN 19= index2=00000100。 5WHEN 17= index2=00000101。 1WHEN 15= index2=00000001。 2WHEN 13= index2=00000010。 8WHEN 11= index2=00000011。 8WHEN 9= index2=00001000。 5WHEN 7= index2=00000110。 5WHEN 5= index2=00000101。 3WHEN 3= index2=00000011。 3WHEN 1= index2=00000011。 M2ROCESS(count3,index1)除去了敏感信號auto1BEGINIF index1=01001101 THENEND IF。count3=count3+1。計數(shù)器清0ELSE 139。IF(clk2 39。music工作進程開始BEGIN定義信號計數(shù)器,有32個元素BEGINM1ROCESS(count3,clk2,index1)音符信號輸出END music。 index1: IN STD_LOGIC_VECTOR(7 DOWNTO 0)。4HZ時鐘信號 use 。use 。use 。end art。end if。result = not ps2serialdata(8 downto 1)。039。end process。 end if。 q(11 downto 1)。 01111111111。 039。 139。 )。 then q = (others =39。if reset=39。 process(sysclk) beginif rising_edge(sysclk) then when ps2clk_r=110 else 39。ps2clkfall=39。end if。ps2clk_r(1) = ps2clk_r(0)。 ps2clk_r = 000。 then process(sysclk,reset) beginif reset=39。 begin signal q : std_logic_vector(11 downto 0)。 architecture art of ps2 issignal ps2clk_r : std_logic_vector(2 downto 0)。 result: out std_logic_vector(7 downto 0))。 ps2data: in std_logic。 Port ( sysclk: in std_logic。entity ps2 is Unment the following library declaration if instantiating any Xilinx primitives in this code.library UNISIM。use 。library IEEE。END PROCESS。END IF。039。 139。 count9:=count9+1。 )THENEVENT AND clk4 =39。
點擊復(fù)制文檔內(nèi)容
語文相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1