【正文】
數(shù)字頻率計是一種基本的測量儀器,它被廣泛應(yīng)用與航天、電子、測控等領(lǐng)域。 如果您有任何意見,在PCI_a megacore功能或支持文件上。時序分析 MAX+PLUSⅡ的時間分析儀可以分析的時間表現(xiàn)一個項目后,它已優(yōu)化編譯器,開始時間分析該pci_a ,選擇注冊性能分析(菜單)在時間分析儀,然后選擇開始。在您的設(shè)計,你可能會想模擬試驗臺的情景提供與pci_a megacore功能,以及發(fā)展模擬您的自定義本地的一面。9. 編譯設(shè)計模擬pci_a:下列步驟說明如何模擬pci_a功能使用MAX+PLUSⅡ開發(fā)系統(tǒng)。該ACF的產(chǎn)生與make_acf公用事業(yè)包含所有項目轉(zhuǎn)讓的必要,以滿足PC我的時間要求,即針轉(zhuǎn)讓為PCI信號, 位置的轉(zhuǎn)讓為內(nèi)部邏輯單元,和集團資源分配。表2顯示了默認的參數(shù)值:表二 默認參數(shù)值名稱16進制值說明CLASS_CODEFF0000類代碼存儲DEVICE_ID0001設(shè)備ID存儲DEVICE_VEND_ID1172設(shè)備ID供應(yīng)商存儲REVISION_ID01修訂版ID存儲SUBSYSTEM_ID0000子系統(tǒng)ID存儲SUBSYSTEM_VEND_ID0000子系統(tǒng)供應(yīng)商ID存儲7. 如果您改變了參數(shù),保存您的文件選擇保存(文件菜單)。如果在H 或引號刪除,編譯錯誤將導(dǎo)致。指以的PCI SIG的PCI總線的規(guī)格。該pci_a是一個參數(shù)化的功能。 選擇保存,以使當前的任務(wù)(文件菜單) 。節(jié)點名稱框中,在引腳/地點/芯片對話框(指定菜單)顯示層次的名稱你應(yīng)類型提示時,為Pci megacore層次名字。 PCI Megacore:Pci_a:35進入該芯片名稱:Pci_top鍵入路徑一名稱的輸出acf的文件:C:\megacore\pci_a\acf此應(yīng)用程序的說明及分層的名稱,這pci_a功能是pci_a :35。a. :c:\megacore\bin\make_acfb. 然后您根據(jù)問題,鍵入以下提示。4.您可以使用Altera的opencore功能匯編和模擬該pci_a megacore功能。顯示gdf引腳的名稱和pci_a 標志名稱。文本設(shè)計文件(.tdf ),符號文件(.sym)和包括文件(.inc)pci_a功能是儲存在megacoremib 目錄中。編譯pci_a:下列步驟說明如何編譯pci_a功能使用MAX+PLUSⅡ開發(fā)系統(tǒng)。此目錄將成為項目目錄,改變目錄后開始創(chuàng)造。表一 文件供應(yīng) PCI Megacore功能 文件名描述平面設(shè)計(.gdf)文件包含一個實例的pci_a與引腳連接到所有的I/O端口。了解更多關(guān)于pci_a功能及指PCI megacore功能與DMA數(shù)據(jù)表。Pci_a: 該pci_a功能提供了一個解決方案,集成的32位PCI周邊設(shè)備,并全面測試,以滿足要求的PCI 特殊利益小組( SIG ) PCI總線的規(guī)格, ,和遵守清單, 。 您可以使用Altera的opencore功能匯編和模擬該pci_a megacore功能。如果安裝位置是在您的系統(tǒng)上不同,要以適當?shù)穆窂桨惭b。不過,您應(yīng)該改變步驟適當?shù)匕惭bpci_a的功能,及其中的所有文件都設(shè)在其中。 在本應(yīng)用指南中指示承擔如下:1. MAX+PLUSⅡ :\maxplus2 的目錄中。該pci_a MegaCore 的功能,實現(xiàn)了一個PCI接口。end display_arc。 end case。 when1001=q=1101111。 when0111=q=0000111。 when0101=q=1101101。 when0011=q=1001111。 when0001=q=0000110。end entity display。entity display isport(d: in std_logic_vector(3 downto 0)。 (9)譯碼顯示library ieee。 end process。 end if。 after 10 ns。 en=39。 end if。 end if。count=0000000。)then if(count(3 downto 0)=1001)then if(count1660)then if(count=1011001)then en=39。event and clk=39。)then count=0000000。 process(clk,reset) begin if(reset=39。architecture art of second is signal count:std_logic_vector(6 downto 0)。 daout: out std_logic_vector(6 downto 0))。 reset: in std_logic。use 。 (8) 時間顯示library ieee。 end process。 when others=q=1111。 when101=q=sec(6 downto 4)。 when011=q=a3。 when001=q=a1。end entity chose。 sec: in std_logic_vector(6 downto 0)。entity chose is port(sel: in std_logic_vector(2 downto 0)。(7) 對應(yīng)于數(shù)碼管的片選信號library ieee。 end process。 end if。139。 begin if clk39。end entity sele。entity sele isport(clk: in std_logic。use 。end architecture chr。 end if。d11=d。b11=b。039。architecture chr of lock1 isbegin process(clr)is begin if clr39。 a11,b11,c11,d11,dang11:out integer range 9 downto 0 )。entity lock1 isport(clr:in std_logic。(5) 鎖存器library ieee。 end process two。 end if。a=h。c=j。 dang=1。b=j。 elsif l/=0 then d=l。a=j。c=l。 dang=3。b=l。 elsif n/=0 then d=n。a=l。c=n。 dang=5。b=n。)then if p/=0 then d=p。event and up=39。end entity lock。 a,b,c,d:out integer range 9 downto 0。entity lock is port(up: in std_logic。use 。 end architecture chr。p=p1。n=n1。l=l1。j=j1。 h=h1。p1:=0。n1:=0。l1:=0。j1:=0。then h1:=0。 if clr=39。 end if。 else h1:=h1+1。 else i1:=i1+1。 else j1:=j1+1。 else k1:=k1+1。 else l1:=l1+1。 else m1:=m1+1。 else n1:=n1+1。 else o1:=o1+1。 else p1:=p1+1。 if(o1=9)then o1:=0。 if(m1=9)then m1:=0。 if(k1=9)then k1:=0。 if(i1=9)then i1:=0。139。139。 begin if(sig39。end entity count1。 sig: in std_logic。entity count1 is port(q: in std_logic。use 。end architecture chr。 end if。 else clr=39。 if count5400000 and count5600000 then clr=39。039。139。 end if。 else q=39。architecture chr of shixu isbeginb:process(count)isbegin if count5000000 then q=39。 q,up,clr:out std_logic)。use 。end fen_arc。 count=t。 end if。then if(t=5999999)then t:=0。event and clk =39。 architecture fen_arc of jishu is begina:process(clk) isvariable t:integer range 6000000 downto 0。 count:out integer range 6000000 downto 0 )。use 。隨著工藝技術(shù)的進一步發(fā)展,超大規(guī)模、高速、低功耗的新型器件不斷誕生,可見EDA技術(shù)不斷得到了飛躍,為我們的設(shè)計帶來了優(yōu)化性。從早期的只能實現(xiàn)比較簡單的組合邏輯功能,到現(xiàn)在己經(jīng)發(fā)展到了可以完成超大規(guī)模的復(fù)雜組合邏輯及時序電路。該頻率計可測量頻率范圍廣泛,在數(shù)字系統(tǒng)設(shè)計過程中,采用EDA技術(shù),通過分析仿真結(jié)果,改進設(shè)計方案方便快捷,這樣可以大大提高設(shè)計效率、縮短設(shè)計周期,從而達到節(jié)約成本、提高產(chǎn)品更新?lián)Q代速度的目的。8 結(jié)束語 通過分析測試,所設(shè)計的頻率計可以完成頻率檢測功能。F X 計數(shù)的起止時間都是由被測信號的上升沿觸發(fā)的,在T P 時間內(nèi)對F X 計數(shù)Nx 無誤差,在此時間內(nèi)FS 的計數(shù)值N s 最多相差一個脈沖,即︱ΔS ︱≤ 1 而 Fx / Nx = F S/N xFxe / Nx = FS /(NS + ΔS ) 由以上兩式可得F x =( F S / NS )* N xF xe =( F S /(NS + ΔS ))* N x根據(jù)相對誤差公式有 ︳δ︳=ΔF xe / F xe=︳Fxe—Fx︱ / Fxe將以上各式整理可得