freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

一種基于vhdl語言的電子鐘的設(shè)計(參考版)

2024-11-11 10:32本頁面
  

【正文】 本 科 畢 業(yè) 設(shè) 計 第 42 頁 共 42 頁 圖 總接線元件布局簡圖 。其中以校正電路代替時間計數(shù)電路中的時、分、秒之間的進(jìn)位,當(dāng)校時電路處于正常輸入信號時,時間計數(shù)電路正常計時,但當(dāng)分校正時其不會產(chǎn)生向時進(jìn)位,而分與時的校位是分開的,校正電路也是一個獨(dú)立的電路。 end fun。 u6:sel port map 本 科 畢 業(yè) 設(shè) 計 第 41 頁 共 42 頁 (c2=clk,rst=rst,q1=qin1,q2=qin2,q3=qin3,q4=qin4,q5=qin5,q6=qin6, qout=q7(3 downto 0),sel=sel)。 u4:fen60 port map(c3=clk,rst=rst,qout1=q3(3 downto 0),qout2=q4(3 downto 0),carry=c4)。 u2:fen100 port map(clk=clk,rst=rst,qout=c2)。 signal q7: std_logic_vector(6 downto 0)。 signal c1,c2,c3,c4:std_logic。 四位二進(jìn)制碼輸入 qout:out std_logic_vector(6 downto 0))。 end ponent。 小時高位輸入 qout:out std_logic_vector(3 downto 0)。 分鐘高位輸入 qin5:in std_logic_vector(3 downto 0)。 秒鐘高位輸入 qin3:in std_logic_vector(3 downto 0)。復(fù)位信號 qin1:in std_logic_vector(3 downto 0)。 本 科 畢 業(yè) 設(shè) 計 第 40 頁 共 42 頁 ponent sel is port(clk:in std_logic。 carry:out std_logic)。 qout1:out std_logic_vector(3 downto 0)。 ponent fen24 is port(clk:in std_logic。 carry:out std_logic)。 qout1:out std_logic_vector(3 downto 0)。 ponent fen60 is port(clk:in std_logic。 qout:out std_logic)。 ponent fen100 is port(clk:in std_logic。 qout:out std_logic)。 architecture fun of clock is ponent fen1 is port(clk:in std_logic。 dout:out std_logic_vector(6 downto 0))。 entity clock is 本 科 畢 業(yè) 設(shè) 計 第 39 頁 共 42 頁 port(clk:in std_logic。 use 。 library ieee。 顯示 9 qout=1111111 when others。 顯示 7 qout=0000001 when 1000。 顯示 5 qout=0100001 when 0110。 顯示 3 qout=1001100 when 0100。 顯示 1 qout=0010010 when 0010。 architecture fun of decode47 is begin with qin select qout=0000001 when 0000。 四位二進(jìn)制碼輸入 qout:out std_logic_vector(6 downto 0))。 use 。 use 。 end fun。 end if。 sel=11111111。 sel=01111111。 sel=10111111。 sel=11011111。 sel=11101111。 sel=11110111。 sel=11111011。 sel=11111101。 sel=11111110。 end if。 elsif (rising_edge(clk)) then 本 科 畢 業(yè) 設(shè) 計 第 37 頁 共 42 頁 if t=7 then t:=0。 sel=11111110。039。 architecture fun of sel is begin process(clk,rst) variable t:integer range 0 to 7。 sel: out std_logic_vector(7 downto 0))。 qin6:in std_logic_vector(3 downto 0)。 qin4:in std_logic_vector(3 downto 0)。 qin2:in std_logic_vector(3 downto 0)。 rst:in std_logic。 use 。 use 。 end fun。 qout2=tem2。 end if。 end if。 end if。 if tem2=1001 then tem2=0000。039。139。 tem2=0000。 tem2=0001。039。 signal tem2:std_logic_vector(3 downto 0)。 end fen24。 qout2:out std_logic_vector(3 downto 0)。 rst:in std_logic。 use 。 use 。 end fun。 end if。 qout1=tem1。 else tem1=tem1+1。039。 else tem2=tem2+1。 carry=39。 elsif(rising_edge(clk))then if tem1=1001 then 本 科 畢 業(yè) 設(shè) 計 第 34 頁 共 42 頁 tem1=0000。) then tem1=0000。 begin process(clk,rst) begin if (rst=39。 architecture fun of fen60 is signal tem1:std_logic_vector(3 downto 0)。 carry:out std_logic)。 qout1:out std_logic_vector(3 downto 0)。 entity fen60 is port(clk:in std_logic。 use 。 library ieee。 end process。 end case。 when others=qout=39。 case t is 本 科 畢 業(yè) 設(shè) 計 第 33 頁 共 42 頁 when 0 to counter_len/2=qout=39。 else t:=t+1。139。 elsif clk39。039。 begin process(clk,rst) variable t:integer range 0 to counter_len。 end fen100。 rst:in std_logic。 use 。 use 。 end fun。 end if。139。039。 end if。 then if t=counter_len then t:=0。event and clk=39。)then t:=0。 begin if(rst=39。 architecture fun of fen1 is constant counter_len:integer:=39999999。 qout:out std_logic)。 entity fen1 is port(clk:in std_logic。 use 。 還要感謝我們畢業(yè)設(shè)計小組的所有同學(xué),正是由于他們的團(tuán)結(jié)互助才能夠為我營造一個良好的設(shè)計環(huán)境,再次謝謝所有幫助過我的人,謝謝! 本 科 畢 業(yè) 設(shè) 計 第 30 頁 共 42 頁 參 考 文 獻(xiàn) 1 康華光.電子技術(shù)基礎(chǔ) (數(shù)字部分 )第三版.北京:高等教育出版社, 1988 2 孫文杰,等.標(biāo)準(zhǔn)集成電路數(shù)據(jù)手冊 TTL電路(增 補(bǔ)本 ).北京:電子工業(yè)出版社,1994. 9 3 畢滿清,等.電子技術(shù)實(shí)驗與課程設(shè)計.北京:機(jī)械工業(yè)出版社, 1995 4 皇甫正賢.?dāng)?shù)字集成電路基礎(chǔ).南京( M):南京大學(xué)出版社, 2020 5 陳剛,張?zhí)禊i編 . 數(shù)字電子鐘的分析與設(shè)計 . 開封;黃河水利職業(yè)技術(shù)學(xué)院 .475004 辦公自動化雜志 6 張宏富,龔一光主編.?dāng)?shù)字電子技術(shù)實(shí)驗指導(dǎo)書 [MJ].成都信息工程學(xué)院 7 王毓銀主編.?dāng)?shù)字電路邏輯設(shè)計.高等教育出版社.《 TTL 數(shù)字集成電路產(chǎn)品手冊》長州半導(dǎo)體廠 8 澄非主編.電路與數(shù)字邏輯設(shè)計實(shí)踐 [M].東南 大學(xué)出版社 9 彭介華主編.電子技術(shù)課程設(shè)計指導(dǎo).高等教育出版社 10 鄧元慶,賈鵬 . 數(shù)字電路與系統(tǒng)設(shè)計 . 西安:西安電子科技大學(xué)出版社, 2020 11 梁延貴 . 現(xiàn)代集成電路實(shí)用手冊(編碼器、譯碼器、數(shù)據(jù)選擇器、電子開關(guān)、電源分冊) .北京:科學(xué)技術(shù)文獻(xiàn)出版社, 2020 12 陳大欽 .電子技術(shù)基礎(chǔ)實(shí)驗 .高等教育出版社, 13 焦素敏 . 數(shù)字電子技術(shù)基礎(chǔ) .人民郵電出版社, 14 高吉祥 . 電子技術(shù)基礎(chǔ)實(shí)驗與課程設(shè)計 .電子工業(yè)出版社, 2020 15 呂思忠 . 數(shù)字電路實(shí)驗與課程設(shè)計 .哈爾濱工業(yè)大學(xué)出版社, 2020 16 Ducan T E,Hu Y,PaskDucan calculus for fractional Brownian motion [J].SIAM O ptin, ,582612 17 Hu Y,? ksendal B,Fractional White Noise and Application to Finance [J].Infinite D in ensional Analysis Quantum Probability and Related Topics, 2020, 本 科 畢 業(yè) 設(shè) 計 第 31 頁 共 42 頁 附錄 程序清單 數(shù)字鐘的 VHDL 語言編程源程序 library ieee。 老師認(rèn)真負(fù)責(zé)的工作態(tài)度,嚴(yán)謹(jǐn)?shù)闹螌W(xué)精神和深厚的理論水平都使我收益匪淺 ; 無論在理論上還是在實(shí)踐中,都給與我很大的幫助,使我得到不少的提高 。在課題的完成過程中,在此謹(jǐn)向老師表示誠摯謝意。 本 科 畢 業(yè) 設(shè) 計 第 29 頁 共 42 頁 致 謝 本課題的選擇、試驗設(shè)計和論文的撰寫等各個環(huán)節(jié),都凝聚了責(zé)令導(dǎo)師的辛勤汗水和大量心血。這對我們來說也是一個遺憾 吧 。對于芯片的使用,我們應(yīng)該在了解它的各項功能的前提條件下,靈活巧妙地運(yùn)用。 同時加深了對芯片的了解及其應(yīng)用。那么,我們就應(yīng)該要考慮是否采用這個設(shè)計的方案。假如我們設(shè)計了一個性能很好的產(chǎn)品。首先提出 幾套方案,然后對各個方案進(jìn)行對比。當(dāng)我們接手一個課題或項目的時候,不是馬上就動手 做 。 通過這次對數(shù)字鐘的設(shè)計,讓我受益 匪 淺。我學(xué)到了很多東西,最重要的是做好一件事的心態(tài)。數(shù)字鐘是典型的時序邏輯電路,包含了
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1