freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda課程設(shè)計--eda課程設(shè)計實驗報告(參考版)

2025-01-21 21:03本頁面
  

【正文】 16。但這些內(nèi)容掌握的程度還不深入,要想能夠融會貫通必須用更多的時間去深入學(xué)習(xí)。八、心得體會一個學(xué)期的EDA學(xué)習(xí),使我獲益良多。本設(shè)計的函數(shù)信號發(fā)生器在設(shè)計上由于設(shè)計時考慮的不夠全面雖然完成了函數(shù)信號的產(chǎn)生,但不夠完善。結(jié)合FPGA的開發(fā)集成環(huán)境Quartus2軟件,產(chǎn)生了函數(shù)信號發(fā)生器的各種信號,同時完成了時序和功能仿真。(7)當(dāng)設(shè)置為其他值時無波形輸出七、設(shè)計結(jié)論本設(shè)計以函數(shù)信號發(fā)生器的功能為設(shè)計對象,運用EDA技術(shù)的設(shè)計方法,進行各種波形的輸入設(shè)計、設(shè)計處理和器件編程。(5)第五次sel的值設(shè)為4,其輸出的波形是正弦波,從圖中可以看出,輸出的數(shù)據(jù)的變化規(guī)律是正弦規(guī)律。(3)第三次sel的值設(shè)為2,輸出為三角波,其仿真波形如下圖所示,輸出波形線性增大到最大后,再線性減小。調(diào)試整個系統(tǒng)了原理圖如下圖所示::(復(fù)位信號reset高電平,低電平為不輸出)(1)第一次sel選擇值設(shè)為0,輸出為遞增波,從圖中可以看出,輸出的波形成線性遞增,結(jié)果正確。WHEN OTHERS=NULL。 END PROCESS。 方波輸出WHEN OTHERS=NULL。 階梯波形輸出WHEN100=q=d4。 遞減波形輸出WHEN010=q=d2。 ARCHITECTURE behave OF ch61a ISBEGINPROCESS(sel)BEGINCASE sel ISWHEN000=q=d0。 q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0))。 ENTITY ch61a ISPORT(sel:IN STD_LOGIC_VECTOR(2 DOWNTO 0)。其選擇VHDL程序如下:LIBRARY IEEE。輸出波形選擇模塊波形選擇模塊是一個設(shè)計位6選1的數(shù)據(jù)選擇器,其中sel為波形數(shù)據(jù)選擇端口,d0~d5為8位二進制輸入端口,q為8位二進制輸出端口。 END PROCESS。 END IF。 a=1, 輸出一個波形周期內(nèi)的高電平 ELSEq=0。139。139。 PROCESS(clk,a)BEGINIF clk39。 END IF。 對內(nèi)部a變量取反,a變化已啟動進程END PROCESS。 當(dāng)計數(shù)的值大于64時,清零。 THEN 檢測時鐘上升沿IF t63 THEN 計數(shù)64個點t:=t+1。EVENT AND clk=39。039。039。BEGINPROCESS(clk,clr)VARIABLE t:INTEGER。 END square。 ENTITY square ISPORT(clk,clr:IN STD_LOGIC。模塊程序如下:LIBRARY IEEE。圖6 方波模塊仿真圖方波模塊的設(shè)計是當(dāng)內(nèi)部計數(shù)t達到64時,根據(jù)輸出標(biāo)志a的數(shù)值輸出對應(yīng)的數(shù)值,當(dāng)a=0輸出0,也即是方波周期中的低電平,當(dāng)a=1,輸出255,也即是方波周期中的高電平。END SYN。 賦值,輸出 end process 。 計數(shù) end if。139。 計數(shù)器異步復(fù)位 elsif clk 39。039。039。architecture bhv of t is begin process(clk,clr)variable cqi:std_logic_vector(5 downto 0)。 q: out std_logic_vector(5 downto 0))。entity t is 定義計數(shù)器的實體 port(clk: in std_logic。use 。END SYN。BEGIN q = sub_wire0(7 DOWNTO 0)。 q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) )。 PORT ( clock0 : IN STD_LOGIC 。 width_a : NATURAL。 outdata_reg_a : STRING。 operation_mode : STRING。 lpm_type : STRING。 intended_device_family : STRING。 COMPONENT altsyncram 例化al
點擊復(fù)制文檔內(nèi)容
高考資料相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1