freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的鍵盤掃描電路設(shè)計_畢業(yè)論文設(shè)計(參考版)

2024-08-29 14:07本頁面
  

【正文】 architecture behavior of smjsq is signal qscan : std_logic_vector(3 downto 0)。detect key_pressed to stop counter scan_t : out std_logic_vector(3 downto 0))。clock scan_f : in std_logic。 use 。 use 。 end behavior。139。 end process scan_freq。 else q = q。 else q =0000000 。139。 architecture behavior of fpq100to1 is begin scan_freq : process(clk) begin if(clk39。 q : buffer std_logic_vector((osc_bit1) downto 0) )。for testing port( clk : in std_logic。 generic (osc_f : integer := 100 。 entity fpq100to1 is generic (osc_f : integer := 3686 。 use 。 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 25 [參考文獻(xiàn) ] [1]樓然苗 .單片機課程設(shè)計實例指導(dǎo) [M].北京:電子工業(yè)出版社 .2020. [2]江思敏 .VHDL數(shù)字電路及系統(tǒng)設(shè)計 [M].北京:機械工業(yè)出版社, . [3]何立民 .單片機中級教程 —— 原理與應(yīng)用 [M].北京: 航空航天大學(xué)出版社 , 2020. [4]姜雪松,劉東升 .硬件描述語言 VHDL教程(基礎(chǔ)篇) [M].西安:西安交通大學(xué)出版社, [5]江國強 ..EDA技術(shù)與 應(yīng)用 .第三版 [M], .北京:電子工業(yè)出版社, 2020. [6]張丕狀,李兆光 .基于 VHDL的 CPLD/FPGA開發(fā)與應(yīng)用 [M].北京:國防工業(yè)出版社, 2020:39. [7]辛春燕 .VHDL硬件描述語言 [M].北京:國防工業(yè)出版社 , [8]康萬新 .畢業(yè)設(shè)計指導(dǎo)及案例剖析 [M].北京:清華大學(xué)出版社, [9]路勇 .電子電路實驗及仿真 [M].北京清華大學(xué)出版社 。 沒有她,我可能這次都沒有勇氣來答辯,是她的鼓勵讓我有了來答辯的信心,最終通過了答辯老師的考核,順利的完成了大學(xué)中的畢業(yè)論文 設(shè)計。 起初 從論文的選題、 論文 框架的設(shè)計、 目錄 結(jié)構(gòu)的布局、 程序運行 , 并且 從內(nèi)容到格式,從標(biāo)題到標(biāo)點, 馮老師 都費盡心血。 最開始還想著畢業(yè)設(shè)計肯定很難,不可能完成之類的問題,現(xiàn)在想想也不是多難的,通過本次畢業(yè)設(shè)計,不僅讓我收獲了書本上的知識,還明白了做人的道理,有些事你不去做,永遠(yuǎn)也不可能完成,相反 你抱著肯定要完成的目標(biāo),最后實現(xiàn)的都不會離當(dāng)初所想的差的太多,所以人生一定要有目標(biāo),不然你就不會有動力和信心。本次設(shè)計主要是學(xué)會運用 VHDL的硬件描述語言,最重要的事一些最基本的語法的錯誤,特別是不容易注意的小錯誤,往往會困擾很久,同時還有一些基本知識的掌握,同時重新復(fù)習(xí)了數(shù)電模電,還有一些算法,鍛煉了我思考問題、解決問題、文字表達(dá)能力,都有了進(jìn)一步的提高。 以上程序是在鍵盤編碼電路中, 16 個按鍵的編碼方式,當(dāng)二進(jìn)制碼為“ 0000”時,輸出為“ 1” ,當(dāng)二進(jìn)制為“ 0001”時,輸出為“ 2” ,以此類推,得到 16個值,但這 16個值只是按鍵上的代號。 b when others = bianma = 1111。 a when 1101= bianma =0000。 9 when 1011= bianma =1110。 7 when 1001= bianma =1000。 6 when 0111= bianma =1101。 4 when 0101= bianma =0101。 3 when 0011= bianma =1100。 1 when 0001= bianma =0010。139。139。 architecture behavior of bianma1 is begin bianma1:process(clk) begin if(clk39。button code end bianma1。keybord scan count clk , key_valid : in std_logic。 use 。 use 。程序運行結(jié)果如圖 : 圖 鍵盤編碼電路中 鍵盤編碼電路在整個掃描電路中是一個編碼的功能,而 0~15只是鍵盤位置的代號,并不是鍵盤上真正的按鍵值,所以要設(shè)計一個鍵盤編碼電路,而且在更換不同鍵盤時,由于按鍵值 的不同可以進(jìn)行適當(dāng)?shù)母淖?,用來實現(xiàn)此電路的功能。key_valid? end xiaodou。 clock for synchrony scan_f : in std_logic。 entity xiaodou is port( 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 21 key_pressed : in std_logic。 use 。定義的端口程序是 : library ieee。 在整個按鍵檢測電路中, col和 scan_t為輸入端口, row和 key_pressed為輸出端口。keybord row state key_pressed : out std_logic)。keybord column state scan_t : in std_logic_vector(3 downto 0)。 掃描計數(shù)器電路的運行結(jié)果如圖 : 圖 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 20 按鍵檢測電路中 按鍵檢測電路在整個設(shè)計系統(tǒng)中的作用是檢測是否有按鍵按下的作用,檢測到?jīng)]有按下按鍵時, key_pressed為 1,當(dāng) key_pressed為 0時,檢 測到有按鍵按下。detect key_pressed to stop counter scan_t : out std_logic_vector(3 downto 0))。clock scan_f : in std_logic。正確的運行結(jié)果如圖 : 圖 鍵盤掃描計數(shù)器電路中 文件的命名一定要和 smjsq 一樣,否則會運行不成功, clk 和 scan_f 為輸入端口,key_pressed和 scan_t為輸出端口。當(dāng)設(shè)計實體既需要輸出又需要反饋時,相應(yīng)的實體端口要設(shè)定為緩沖模式。 在 port中加入一個緩沖模式 buffer,該模式表示端口數(shù)據(jù)或信號既可以向設(shè)計實體外部作用而流出端口,同時也可以將流出端口的數(shù)據(jù)或信號引回到設(shè)計實體,用于實現(xiàn)內(nèi)部反饋。 q : buffer std_logic_vector((osc_bit1) downto 0) )。for testing port( clk : in std_logic。 generic (osc_f : integer := 100 。 在分頻器電路中, generic是一個類屬語句,其后面跟的是參數(shù),在 100處達(dá)到分頻,port 后面是端口名, clk 是輸入端, scan_f 是輸出端,此程序是錯誤的,運行如圖 所示: 圖 經(jīng)過程序調(diào)試,錯誤的修改,終于把程序的錯誤之處解決了,定 義的端口程序是 : entity fpq100to1 is generic (osc_f : integer := 3686 。 clock scan_f : out std_logic。 osc_bit : integer := 7)。 osc_bit : integer := 12)。仿真波形如圖 : 在得到仿真波形的同時也可以生成電路符號,這個電路符號可以很清楚地看到按鍵編程整合后的整個按鍵圖,從圖中可以看到有兩個輸入端口,分別為 clk和 col,也有兩個輸出端口,分別為 row和 bianma,總按鍵芯片圖如圖 : 圖 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 18 第 5 章 軟件調(diào)試 分頻器電路中 在所有的程序設(shè)計中,都 是用 VHDL硬件語言編程的,經(jīng)過調(diào)試然后仿真,在程序不正確的情況下,查找問題,最終解決問題,在用 VHDL 硬件語言編程的過程中,都是由實體說明和結(jié)構(gòu)體兩部分組成的,實體主要是用來說明實體的外部特征,結(jié)構(gòu)體描述的是設(shè)計的行為和結(jié)構(gòu),指定了輸入和輸出之間的行為。 END arc。 U4:xiaodou port map (key_pressed , clk , scan_f , key_valid )。 U2:smjsq port map (clk , scan_f, key_pressed , scan_t )。 END ponent。 clk , key_valid : in std_logic。key_valid? END ponent。 clock for synchrony scan_f : in std_logic。 ponent xiaodou PORT( key_pressed : in std_logic。 key_pressed : out std_logic)。 scan_t : in std_logic_vector(3 downto 0)。 END ponent。 key_pressed : in std_logic。 ponent smjsq PORT( clk : in std_logic。 q : buffer std_logic_vector((osc_bit1) downto 0 ))。 ponent fpq100to1 PORT( clk : in std_logic。 signal scan_f : std_logic。掃描電路的電路圖如圖 : 東海科學(xué)技術(shù)學(xué)院本科生畢業(yè)論文 15 圖 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 16 第 4 章 頂層設(shè)計 定義端口 clk和 col為輸入端口后, 將 5個子程序進(jìn)行整合,此時就有 2個輸出的端口,分別為 row和 bianma,這個整合的過程需要用到 ponent映射的編程方法,將 5個子程序整合起來,程序如下: ARCHITECTURE arc OF saomiaodian IS signal scan_t: std_logic_vector(3 downto 0)。計數(shù)器的計數(shù)值輸出到按鍵檢測電路,檢測按鍵是否有被使用者按下,同時輸出到編碼電路對鍵盤數(shù)值進(jìn)行編碼。 同時,可以很方便的得出鍵盤編碼電路的 電路符號,該鍵盤編碼電路中,有 2個輸入端,分別是 scan_t和 clk,同時該電路還有一個輸出端是 bianma,還有一個端口的 key_valid是中間變量,鍵盤編碼電路的電路符號如圖 : 圖 鍵盤編碼電路符號 掃描電路總電路圖 掃描電路共有 5 個子電路組成的,這個電路共有 2 個輸入項(時鐘脈沖為 clk,鍵盤的列輸入為 col), 4 個輸出項(鍵盤的行輸出為 row,掃描頻率為 scan_f,按鍵確定信號為key_valid,按鍵值為 bianma)。 鍵盤編碼電路是在鍵盤上以 0~15計數(shù)的方式掃描,但是 0~15只是鍵盤位置的代號,并非鍵盤上真正的按鍵值,所以要設(shè)計一個鍵盤編碼電路,而且在更換不同的鍵盤時,由于按鍵值的不同可以進(jìn)行適當(dāng)?shù)母淖?。?dāng)每按一次鍵時,鍵盤就會自動顯示被按鍵的讀數(shù),同時還會產(chǎn)生脈沖通知微處理器,一般情況下還會出現(xiàn)同時按鍵保護(hù)和反彈跳的功能 。鍵盤可以分成兩大類:非編碼鍵盤和編碼鍵盤。其仿真波形如圖 : 圖 同時得到按鍵抖動消除電路的電路符號,按鍵抖動消除電路有 3 個輸入端口,分別為clk、 scan_f 和 key_pressed,一個輸出端口為 key_vaild,在該電路中起到輸出的作用。電路符號如圖 : 圖 按鍵檢測電路符號 計數(shù)輸入 Row col 0000 Row1 col1 掃描 第一 行鍵盤 0001 Row1 col2
點擊復(fù)制文檔內(nèi)容
法律信息相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1