freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內容

最新簡易電子琴課程設計quartus制作-資料下載頁

2025-06-24 02:06本頁面
  

【正文】 if clks39。event and clks = 39。139。 thencount = count + 1。 計數(shù)器來做延時,時鐘為 8hzif count=3 thencount=0。可編程邏輯期末大作業(yè)簡易電子琴設計 b=b+1。 if b=20 then b=0。end if。end if。end if。 else a(i)=input。disp=a(i)。 數(shù)據(jù)通過數(shù)組存儲end if。 disp=a(i)。 end process。 end rtl。頂層模塊設計、模塊說明該 music_ram 模塊是整個電子琴設計的核心,也是 vhdl 程序的主程序,前面 3 個源程序都是作為子程序分別實現(xiàn)電子琴的某一功能,而 music_ram 模塊則通過調用子程序最終實現(xiàn)樂曲演奏的目的,奏出美妙的樂曲。利用 vhdl 語言 ponent 將三個模塊組合起來,其中 3 個模塊和 music_ram 模塊的輸入輸出是一一對應的,比如 disp 對應musicin,auto 對應 auto,musicout 對應 index 等,該模塊的符號編輯圖如圖 34??删幊踢壿嬈谀┐笞鳂I(yè)簡易電子琴設計圖:61:music_ram 系統(tǒng)模塊的符號編輯圖該圖描述的是 music_ram 模塊輸入輸出的變量表示,整個系統(tǒng)的整體組裝設計原理圖就是這 4 幅編輯圖按輸入輸出關系順序連接而成的。、頂層模塊文本程序程序名稱:程序功能:采用 vhdl 語言編寫主程序調用 3 個子程序,實現(xiàn)樂曲演奏(存/放)的功能。library ieee。use 。use 。use 。entity music_ram is port (musicin:in std_logic_vector(3 downto 0)。 系統(tǒng)時鐘信號 auto,clk :in std_logic。 auto=39。139。:read ,39。039。=write 鍵盤輸入/自動演奏信號 musicout: out std_logic_vector(3 downto 0) 音符顯示信號 )。end music_ram。architecture behavioral of music_ram issubtype word is std_logic_vector(3 downto 0)。type memory is array (20 downto 0) of std_logic_vector(3 downto 0)。 signal musram : memory。 signal addr: integer range 0 to 20。 存儲 20 個音符signal ptr: integer range 0 to 20。signal count: integer range 0 to 3。計數(shù)范圍 0 到 3beginprocess(auto) 引入 kbclk 元件begin 可編程邏輯期末大作業(yè)簡易電子琴設計if auto39。event and auto=39。139。 then 鍵盤輸入為 1ptr=ptr+1。 計數(shù)器值為 1,時鐘信號幅值為 1if ptr=20 then ptr=0。 end if。end if。end process。auto is a flag of signal process (clk,musicin)beginif musicin=1111 then 如果輸入字母 f,調用存儲的音符自動演奏musicout=musram(addr)。if clk39。event and clk = 39。139。 then 時鐘信號為 1count = count + 1。 計數(shù)器來做延時,時鐘為 8hzif count=3 thencount=0。addr=addr+1。 if addr=20 then addr=0。end if。end if。end if。else musram(ptr)=musicin。 musicout=musram(ptr)。end if。end process??删幊踢壿嬈谀┐笞鳂I(yè)簡易電子琴設計end behavioral。、綜合設計原理圖(完善)將 toaba,speakera,music_ram,和 ps2scan 四個模塊連接在一起形成完整的電子琴發(fā)音系統(tǒng),將繪制好的原理圖保存,確保無誤后運行進行引腳搭配,編譯,和下載。圖 63:系統(tǒng)的整體組裝設計原理圖系統(tǒng)程序仿真和編譯系統(tǒng)仿真是在實際系統(tǒng)上進行實驗研究比較困難時適用的必不可少的工具,它是指通過系統(tǒng)模型實驗去研究一個已經存在或正在設計的系統(tǒng)的過程,通俗地講,就是進行模型實驗。因而,系統(tǒng)仿真的結果決定整個課程設計任務完成的到位程度。、程序編譯、編譯過程1)建立頂層設計文件夾 top在硬盤適當位置建立 top 文件夾中??删幊踢壿嬈谀┐笞鳂I(yè)簡易電子琴設計注意:該文件夾的路徑中不能包含漢字。路徑中的所有目錄和子目錄的名稱都必須符合vhdl 的語法規(guī)則。2)子模塊文件的設計打開 quartusii 文件,、 和),輸入所有的子模塊源程序后保存在 top 文件夾下,并進行查錯操作。3)頂層模塊文件設計打開 quartusii,新建一個 文件,輸入源程序后進行保存、查錯、編譯、功能和時序仿真等相關操作。4)設計原理框圖 ,并將 設置為頂層步驟:在頂層文件夾中選擇 ,單擊右鍵,選擇 set as toplevel entity 5)編譯在菜單欄選擇圖標 ,full plication was successful 時候完成編譯。6)下載輸入程序并且編譯無誤,并且仿真無誤后,便進行引腳鎖定及程序下載,在進行引腳鎖定時必須對照引腳鎖定圖進行鎖定可編程邏輯期末大作業(yè)簡易電子琴設計引腳配置如圖:引腳鎖定/編譯后的框圖:可編程邏輯期末大作業(yè)簡易電子琴設計(此框圖編譯完成后達到的功能為:當鍵盤輸入 123456789abcde 是對應響應的頻率,演奏時在 8 段數(shù)碼管顯示對應音符,并且將音符存儲起來,當按下 Y 鍵時,蜂鳴器將按照按鍵順序發(fā)出相應的樂音,數(shù)碼管顯示音符。)設計中遇到問題以及設計小結經過努力,簡易電子琴的設計基本上完成了。在整個設計過程中,包括前期中期和后期,我們都有著許多不同的體會。、 遇到的問題(分析)此次課程理論設計中,不可避免地遇到許多棘手的問題。在本次期末設計課程中,通過“功能設計+軟件模擬+仿真下載”這幾個步,雖然完成了任務,但不可避免地遇到了許多棘手的問題,分為以下幾類:1) 功能設計本次設計要求分為兩部份——“按鍵發(fā)音”和“存儲重放”,第一次只完成了按鍵發(fā)音部分,第二次加入 MUSIC_rom 源程序才完成了要求,但是其中遇到較大障礙,經多次修改和調試,最后才達到要求。2) 軟件模擬在分頻模塊程序設計時遇到了編譯不出來,圖形錯誤等編譯時總是出現(xiàn)非法的錯誤,還有管腳不合適等問題,經過老師和同學的幫助,最后終于編譯成功,完成了該系統(tǒng)的文本設計。3) 仿真下載由于本設計從功能和時序仿真圖上來判斷設計是否成功不太直觀。最好的方法是完成下載驗證通過實際電路驗證,所以仿真結果有誤差不是很符合實際效果。通過實踐,將書本上原理應用于模擬、仿真,較為直觀地觀察實驗結果,雖然遇到不少問題,但在同學的合作及老師的幫助下,最后還是順利地完成了任務。本次期末作業(yè)很好地鍛煉了我們的實際操作能力,提高了我們對專業(yè)學習的興趣,“理論+實踐”是一種非常優(yōu)秀的教學方法。可編程邏輯期末大作業(yè)簡易電子琴設計、 設計小結(總結)通過這本的 vhdl 課程設計,既鍛煉了我們的動手能力,也讓我們加深了對課堂上所學到的理論知識的理解,這給我提供了一個在學習生活中很難得的理論聯(lián)系實際的機會,讓了深刻體驗到在對于設計時遇到的不同問題時,首先應該理解問題關鍵所在,因為用語言編寫程序需要仔細認真的態(tài)度,一點點錯誤漏洞將導致整個源程序無法編譯運行,阻礙下一步工作完成進度。還有我也發(fā)現(xiàn)了在平時學習過程中難于發(fā)現(xiàn)的許多缺點不足。比如實踐的機會過少,所學的理論知識不能夠靈活運用,因此在遇到實際的問題時無法正確處理;再者在課堂上獲得的專業(yè)知識過于淺顯,很多的有關基本操作原理、操作方法都理解不了;課外知識了解的也過少,導致在課程設計初期,面對完全陌生的設計課題無從下手,不知所措。這就提醒我在注重學好理論的同時,更要加強動手的能力,不要一味的照搬課本上的知識,要創(chuàng)新,才能在當今競爭激烈的社會中立足,記住,命運掌握在自己手里,一切都只能靠我們自己。參考文獻[1].潘松,黃繼業(yè).《EDA 技術實用教程》(第四版).科學出版社,[2].劉江海.《EDA 技術課程設計》.華中科技大學出版社,[3].焦素敏.《EDA 應用技術》.清華大學出版社,
點擊復制文檔內容
黨政相關相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1