freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

電子信息工程畢業(yè)論文---cdma數(shù)字移動通信系統(tǒng)信道編碼的實(shí)現(xiàn)-資料下載頁

2024-10-30 10:14本頁面

【導(dǎo)讀】帶寬更寬的移動通信系統(tǒng),CDMA都是主要的選擇。CDMA概念可以簡單地解。釋為基于擴(kuò)頻通信的調(diào)制和多址接入方案。其反向鏈路有接入信道和反向業(yè)務(wù)信。接入信道用于短信令消息交換、能提供呼叫來源、尋呼響應(yīng)、指令和注。本設(shè)計對CDMA通信系統(tǒng)信道編碼進(jìn)行實(shí)現(xiàn)。首先,通過學(xué)習(xí)相應(yīng)的理論。件描述語言等多種輸入方式。發(fā)時間,增強(qiáng)了系統(tǒng)的可讀性,便于后續(xù)產(chǎn)品的升級。最后,逐步地對各個模塊。進(jìn)行分析、仿真與驗(yàn)證。目的是通過畢業(yè)設(shè)計工作熟悉現(xiàn)代無線通信系統(tǒng)的基本。構(gòu)成與基本工作原理,重點(diǎn)掌握CRC校驗(yàn)、卷積編碼、塊交織等相關(guān)編碼技術(shù),并能將這些技術(shù)應(yīng)用實(shí)際系統(tǒng)設(shè)計。

  

【正文】 整個 CDMA數(shù)字基帶試驗(yàn)系統(tǒng)全部用 VHDL語言 實(shí)現(xiàn)后才能真正確定以此來設(shè)計 CDMA系統(tǒng)的正確性。所以在后期工作中實(shí)現(xiàn) CDMA接收部分是重中之重。 2) 實(shí)現(xiàn)的技術(shù)太少。本課題雖然只是實(shí)現(xiàn) CDMA系統(tǒng)的有關(guān)核心技術(shù),但是衍生于它,而與它息息相關(guān)的其他技術(shù),如頻率抽取,碼行同步等等,在核心技術(shù)中都起到了很大的作用,甚至已經(jīng)成為了核心技術(shù)中的一部分。在本課題中卻不考慮,這樣無法充分顯示 CDMA通訊系統(tǒng)的優(yōu)越性。 3) 本課題只是給出了波形仿真結(jié)果,但是在 EDA設(shè)計的過程中,這僅僅只是理論方面的有關(guān)研究,隨之還有將理論研究的結(jié)果運(yùn)用于實(shí)際,即將程序下載到 FPGA中,在實(shí)際 的電路中進(jìn)行測定,看它是否實(shí)現(xiàn)了所要求的邏輯。這也是 EDA設(shè)計的必須步驟。由此可見,本課題的研究是不完整的。 此外還有其他的一些不足,如代碼結(jié)構(gòu)有些不合理,擴(kuò)展性不強(qiáng),等等。但即使如此,在我的畢業(yè)設(shè)計的整個過程中,以上的結(jié)果已經(jīng)令我受益匪淺了。 畢業(yè)設(shè)計的完成,畢業(yè)論文的寫作對于我來說都是第一次,我從其中學(xué)到了很多,這個過程就是一個學(xué)習(xí)的過程。再過一些時間,我就要踏上工作崗位了,那也是一個長期的學(xué)習(xí)過程。我將鼓足勇氣,在自己的專業(yè)這條道路上走得更遠(yuǎn)。 23 致 謝 大學(xué)生活即將結(jié)束, 在此,我要感謝所有曾經(jīng)教導(dǎo)過我的老師和關(guān)心過我的同學(xué),感謝 金素梅 老師在畢業(yè)設(shè)計中抽出時間給我講解設(shè)計思想,幫我理清思路。本論文是在金 老師的精心指導(dǎo)下完成的,無論是在 設(shè)計編程 階段還是在論文寫作過程中 金 老師都給予了我很大的支持與幫助。 金 老師認(rèn)真負(fù)責(zé)和謙虛嚴(yán)謹(jǐn)?shù)墓ぷ鲬B(tài)度,嚴(yán)謹(jǐn)?shù)闹螌W(xué)精神和深厚的理論水平都使我獲益匪淺,對于我以后的工作和學(xué)習(xí)都有巨大的幫助作用。 衷心感謝 她 給予我的關(guān)心和指導(dǎo),感謝我的同學(xué)在畢業(yè)設(shè)計中給予我的幫助。 感謝所有在我成長過程中給予我?guī)椭娜藗儯辛舜蠹业膸椭攀沟梦业恼撐牡靡皂樌赝瓿伞? 24 附 錄 CRC 序列,可以使用一個 4 位移位寄存器 CRC_RG( 3: 0)。若以 nexbit 標(biāo)記該位流的下一位,它由從幀起始至數(shù)據(jù)場結(jié)束的無填充位的序列給定。 CRC 序列的計算如下: reg1=0 //初始化寄存器 repeat reg2 = nexbit xor reg1( 3) 。 reg1( 3: 1) = reg1( 2: 0) 。 //寄存器左移一位 reg1( 0) = 0。 if reg2 then reg1( 3: 0) = reg1( 3: 0) exor ( 4599H) 。 end if unit( CRC 序列開始或者存在一個出錯狀態(tài)) 得到 CRC 序列為: 0101,發(fā)送 /接收數(shù)據(jù)場的最后一位后, CRC_RG 包含CRC 序列, CRC 序列后面是 CRC 界定符,它只包含一個隱位(高電平) 1。 串行算法的 VHDL 程序代碼如下: Library ieee。 Use 。 Use 。 Use 。 Entity can_vhdl_crc is port ( clk : in std_logic。 data : in std_logic。 enable : in std_logic。 initialize : in std_logic。 crc : out std_logic_vector( 3 downto 0)) 。 End entity can_vhdl_crc。 Architecture rtl of can_vhdl_crc is Type xhdl_46 is array( 0 to 3) of std_logic_vector( 3 downto 0) 。 25 Signal crc_next : std_logic。 Signal crc_tmp : std_logic_vector( 3 downto 0) 。 Signal crc_xhdl1 : std_logic_vector( 3 downto 0) 。 begin crc = crc_xhdl1。 crc_next = data xor crc_xhdl1( 3) 。 crc_tmp = crc_xhdl1( 2 downto 0) amp。 ‘0‘ 。 process ( clk) begin if ( clk‘event and clk = ‘1‘) then if ( initialize = ‘1‘) then crc_xhdl1 = 0000。 else if ( enable = ‘1‘) then then if ( crc_next = ‘1‘) then crc_xhdl1 = crc_tmp xor 1001。 else crc_xhdl1 = crc_tmp 。 end if。 end if。 end if。 end if。 end process。 end Architecture rtl。 ★★★★★★★★★★★★★★★★★★★★★★★★★★★★★★ Library ieee。 Use 。 Use 。 Use 。 Use 。 26 library lpm。 use ,all。 library altera_mf。 use 。 entity gsm_vhdl is generic(intl_length:positive:=456)。 poro(clk:in std_logic。 data_in:in std_logic。 wr_addr_a,rd_addr_a。buffer std_logic_vector(8downto 0)。 ram_select_t。buffer std_logic_vector(9downto 0)。 ram_select,ram_read_ena。buffer std_logic。intlv_out。buffer std_logic)。 end entity gsm_vhdl。 architecture address_control_fan of gsm_vhdl is signal ram_read_delay1:std_logic。 signal ram_out_a,ram_out_b。std_logic: begin ram_select_t_mine:po lmp_counter generic map(lmp_width=10,lpm_modulus=912) port map(lpm_width=’1’,q=ram_select_t)。 ram_select_mine:ponent lpm_counter generic map(lpmwidth=10,lpm_repre_sentation=”unsigned”) popt map(data=ram_select_t,datab=”0111001000”ageb=ram_select)。 data_input_select:process(ran_select,data_in) begin if ram_select=’0’then intlv_data_A=data_in: intlv_data_B=’0’。 ELSE intlv_data_A=’0’: intlv_data_B=data_in。 end if。 end process data_input_select: 27 wr_addr_A_mine。ponent lpm_counter generic map(lpm_width=9,lpm_modulus=intlv_length) popr map(clock=clk,updown=’1’,q=wr_addr_A)。 rd_addr_mine_A。po altsyncram generic map(operation_mode=”rom”,width_a=9,widthad_a=9,outdata_reg_a=”clock0”,init_file=””) popr map(clock0=clk,address_a=wr_addr_a,q_a=rd_addr_a)。 ram_read_delay_one:ponent lpm_ff generic map(lpm_width=1) popr map(data(0)=ram_select,clock=clk,q(0)=ram_read_delay1)。 ram_read_delay_two:ponent lpm_ff generic map (lpm_width=1) port map(data(0)=ram_read_delay1,clock=clk,q(0)=ram_read_ena)。 ram_a:po lpm_ran_dp generic map(lpm_width=1,lpm_widthad=9) port map(data(0)=intlv_data_a,rdaddress=rd_addr_a,wraddress=wr_addr_a,rdclock=clk,wrclock=clk,rden=ram_read_ena,wren=not ram_select, q(0)=ram_out_a)。 ram_b:ponent lpm_ram_dp generic map(lpm_width==9) port map(data(0)=intlv_data_b, rdaddress=rd_addr_a,wraddress=wr_addr_a, rdclock=clk,wrclock=clk, rden=not ram_read_ena,wren=ram_select, q(0)=ram_out_b)。 intlv_out=ram_out_a when ram_read_ena=’1’else ram_out_b。 end architecture address_control_fan: 28 參考文 獻(xiàn) [1]劉愛榮 ,盧印舉 ,王振成 , 串口通信中 CRC 快速算法 , 河南大學(xué)學(xué)報:自然科學(xué)版 ,2020,37(4),418420 [2]徐志軍,許光輝 ,CPLD/FPGA的開發(fā)與應(yīng)用[M] ,北京 :電子工業(yè)出版社 ,2020 [3]侯伯亨,顧新 ,VHDL 硬件描述語言與數(shù)字邏輯電路設(shè)計[M] ,西安:西安電子科技大學(xué)出版社, 2020 [4]王月清,移動通信前沿技術(shù)叢書 — 寬帶 CDMA 移動通信原理,電子工業(yè)出版社,2020 [5]候伯亨,顧新編著 ,VHDL 硬件描述語言與數(shù)字邏輯電路設(shè)計 ,西安電子科技大學(xué)出版社 ,修訂版 [6]甘歷編著 ,VHDL應(yīng)用與開發(fā)實(shí)踐 ,科學(xué)出版社 ,2020年 5月第一版 [7] 潘松 , 黃繼業(yè) , EDA技術(shù)實(shí)用教程 (第二版 ),北京: 科學(xué)出版社 , 2020 [8]閻石,數(shù)字電子技術(shù)基礎(chǔ),北京:高等教育出版社, 1998 [9]朱正偉, EDA技術(shù)及應(yīng)用 ,北京:清華大學(xué)出版社 , [10]彭介華,電子技術(shù)課程設(shè)計指導(dǎo),北京:高等教育出版社, 1997 [11]許希斌,等 .CDMA系統(tǒng)工程手冊[M] ,北京 :人民郵電出版社 ,2020 [12]楊剛,李雷,數(shù)字電子技術(shù)基礎(chǔ)教程,北京:科技出版社, 2020, 2456 頁 [13]西志中,蔣建國,夏娜 .卷積碼編碼原理的解釋 [C],合肥工業(yè)大學(xué)論文 .2020 [14] Joesph Mitola, Gerald Q Radio:Making Software Radios Personal Communications, August, 1999 [15]Lutz Lampe, Robert Schober, and Mani Jain, “Noncoherent Sequence Detection Receiver for Bluetooth Systems” IEEE J ournal on selected areas in munications“ Vol. 23, No. 9, September 2020 [16]李世鶴 ,第三代移動通信技術(shù)的改進(jìn)及三代后技術(shù) ,第三代移動通信TDSCDMA 技術(shù)論文集
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1