freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

ppm基帶系統(tǒng)的建模與vhdl設(shè)計(jì)課程設(shè)計(jì)-資料下載頁

2025-01-16 06:06本頁面
  

【正文】 ,即可得到反映數(shù)據(jù)信號(hào)真實(shí)跳變的位置。圖中的譯碼器是由D觸發(fā)器構(gòu)成的T觸發(fā)器。它實(shí)現(xiàn)將數(shù)據(jù)信號(hào)的高低電平跳變的信息轉(zhuǎn)換成電解調(diào)過程的仿真波形。圖6為PPM解調(diào)器的VHDL建模符號(hào)。圖4:PPM信號(hào)解調(diào)器的電路原理圖library ieee。use 。use 。entity maiwei isport(clk,en:in std_logic。 outp0:out std_logic)。end maiwei。architecture bh of maiwei isbegin process(clk,en) variable sum:std_logic_vector(2 downto 0)。beginif en=39。039。thensum:=000。elseif rising_edge(clk)then sum:=sum+39。139。 if sum4 then outp0=39。139。 else outp0=39。039。 end if。 end if。 end if。end process。end bh。六. PPM基帶系統(tǒng)的模型。該模型尚未加入時(shí)鐘提取模塊。將PPM信號(hào)產(chǎn)生器模塊組合起來形成了一個(gè)PPM基帶系統(tǒng)。圖中DATA_IN為數(shù)據(jù)信號(hào)輸入;CLK為時(shí)鐘信號(hào);DATAOUT為接收端的數(shù)據(jù)信號(hào)恢復(fù)輸出;PPMTEST為PPM信號(hào);T1為最長脈沖位置檢測輸出;T2為延遲的PPM信號(hào);T3為最短脈沖位置檢測輸出;T為總的脈沖位置檢測信號(hào)輸出,即數(shù)據(jù)信號(hào)的電平跳變位置信號(hào)輸出。圖5:PPM基帶系統(tǒng)的VHDL頂層電路模型根據(jù)圖8所示的設(shè)計(jì)系統(tǒng)和以上的VHDL設(shè)計(jì)程序,進(jìn)行時(shí)序仿真得到的PPM基帶系統(tǒng)的時(shí)序仿真波形如圖9所示。圖6:PPM基帶系統(tǒng)的時(shí)序仿真波形七.心得體會(huì)通過本次的課程設(shè)計(jì)受益匪淺,感觸良多。首先是進(jìn)一步加深了對于通信原理,信號(hào)與系統(tǒng),vhdl程序設(shè)計(jì)等課程的理解和認(rèn)知,初步了解了FPGA課程。形象地把書本上的知識(shí)聯(lián)系到了實(shí)際的應(yīng)用和生產(chǎn),隨著了解了PPM的性能及優(yōu)缺點(diǎn),提高了自己分析和比較問題的能力,拓寬了自己的思路,學(xué)會(huì)全面以及辯證的看待問題的眼光,也認(rèn)識(shí)到自己的不足并能加以克服和改正,從而得出正確結(jié)論。更為以后的課題研究奠定了堅(jiān)實(shí)的基礎(chǔ)。八.參考文獻(xiàn)[1] 段吉海,[M]. 北京:電子工業(yè)出版社, 2006 [2] 樊昌信,[M].北京:國防工業(yè)出版社,2007[3] [M].北京:清華大學(xué)出版社,2005[4] [M].北京:電子工業(yè)出版社, 2004[5] :人民郵電出版社,2005[6] :西安電子科技大學(xué)出版社,2004[7] :高等教育出版社,2005[8] :2005[9] :人民郵電出版社
點(diǎn)擊復(fù)制文檔內(nèi)容
教學(xué)課件相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1