freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

控制器設(shè)計(jì)word版-資料下載頁

2025-06-05 11:41本頁面
  

【正文】 elsif et = 39。139。 then iq=iq+1。計(jì)數(shù) end if。 end if。 if iq = 255 then c =39。139。計(jì)數(shù)到 255,產(chǎn)生進(jìn)位 else c=39。039。 end if。 q=iq。 if z = 39。139。 then 三態(tài)們關(guān)閉 c=39。Z39。 q=(others=39。Z39。)。 end if。 end process。 end behave。 library ieee。 use 。 entity instruction_register is port ( clk ,load : in std_logic。 d : in std_logic_vector(7 downto 0)。 q : out std_logic_vector(7 downto 0) )。 end instruction_register。 architecture behave of instruction_register is signal save : std_logic_vector(7 downto 0)。 begin process(clk , load) begin if rising_edge(clk) and load=39。139。 then save=d。 end if。 q=save。 end process。 end behave。 四、 仿真設(shè)計(jì) 由于 元器件的仿真在實(shí)驗(yàn) 3 中已經(jīng)做過,所以此處不再進(jìn)行元器件的仿真。 首先令 clk 每隔 10 納秒翻轉(zhuǎn)一次。 et=1(有效) ,ld=1(無效) ,r=1(無效) 這是程序計(jì)數(shù)器 處于工作狀態(tài)。 取指令 : 在第三個(gè)時(shí)鐘上升沿時(shí), zpc=0,(程序計(jì)數(shù)器三態(tài)門打開 ), loada=1(地址寄存器同步控制端有效 ),za=0(地址寄存器三態(tài)門打開 ),Cot(1)=1(讓 pc 輸出的數(shù)據(jù)傳入地址寄存器 ),這是輸出應(yīng)該是 00000010,因?yàn)槌绦蛴?jì)數(shù)器剛好計(jì)數(shù)三個(gè)。 訪存取指令 : 下一個(gè)時(shí)鐘上升沿時(shí) . qd=11111111,zq=0,zd=1,loadq=1,loadd==1,zpc=1,loada=0,loadi=1,cot(0)=1 下一個(gè)時(shí)鐘上升沿時(shí): zd=1(數(shù)據(jù)寄存器的三態(tài)門 打開 ),loadi=1(指令寄存器的同步置數(shù)端口有效 ),cot=’01’(讓數(shù)據(jù)寄存器里的數(shù)據(jù)傳入指令寄存器 )。結(jié)果 ic 輸出的應(yīng)該是 qd 的高三位對應(yīng)的 指令控制信號(hào) 011111111. 訪存存數(shù)據(jù) : 下一個(gè)時(shí)鐘上升沿: Zq=1,其它信號(hào)都處于無效狀態(tài)。這是數(shù)據(jù)寄存器的三態(tài)門打開,數(shù)據(jù)送到系統(tǒng)總線,然后存入存儲(chǔ)器。 輸出的應(yīng)該是先前存入的數(shù)據(jù) 11111111 驗(yàn)證 cot 的有效性 : 下二個(gè)時(shí)鐘上升沿: za=0,zpc=0,loada=1,cot 分別等于 00 和 10 以驗(yàn)證控制數(shù)據(jù)傳入的信號(hào)的有效性。 五、 結(jié)果分析討論 從圖中可以看出 ,當(dāng)沒有置數(shù)之前, ic 的值是 111111110,,那是因?yàn)檐浖J(rèn)傳入 A端口的值是 000,從 qa的第一變化開始出也可以看出, za=0三態(tài)門打開的時(shí)候在時(shí)鐘上升沿來到之前 qa 由 ZZZZZZZZ 變?yōu)?00000000 中也可以得到佐證。 時(shí)鐘上升沿來到的時(shí)候, za的三態(tài)門是打開的,于是就把其中的地址輸出, 00000010,,正好是第三次時(shí)鐘上升沿應(yīng)該有的數(shù)據(jù)。 然后,從 qd 植入數(shù)據(jù) 11111111,雖然數(shù)據(jù)寄存器到總線的三態(tài)門是關(guān)閉的 qd 輸入?yún)s是置入的數(shù)據(jù),這是正確的。因?yàn)檩斎胼敵龆丝谑且粋€(gè)端口, 在此時(shí)此刻就應(yīng)該顯示qd 置入的數(shù)據(jù)。 然后把數(shù)據(jù)寄存器中保存的指令,傳入指令寄存器,得到輸出結(jié)果 01111111。這真是譯碼器中的 111 對應(yīng)的輸出。 然后 zq 的三態(tài)門打 開,數(shù)據(jù)寄存器中的數(shù)據(jù)送到系統(tǒng)總線,顯示的是上一次置入的數(shù)據(jù)。此 系統(tǒng)總線上的數(shù)據(jù)可以送到存儲(chǔ)器中。 然后驗(yàn)證 cot 的有效性,從圖中的 可以看出,兩次時(shí)鐘上升沿中,第一次由于 cot 處于無效狀態(tài) qa 的輸出是高組態(tài)(雖然三態(tài)門已經(jīng)打開),而后一次,由于 cot 處于有效狀態(tài), qa 輸出的是第九次時(shí)鐘上升沿的數(shù)據(jù) 00001000 這一副圖也是驗(yàn)證 cot 有 效性的。但它是驗(yàn)證數(shù)據(jù)寄存器和指令寄存器之間的 cot 的有效性的。
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1