freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

《控制器設(shè)計》word版-全文預(yù)覽

2025-07-03 11:41 上一頁面

下一頁面
  

【正文】 l drir : std_logic_vector(7 downto 0)。 q : out std_logic_vector(7 downto 0) )。 q : out unsigned(7 downto 0) )。 end ponent。 q : inout std_logic_vector(7 downto 0) )。 q : out std_logic_vector(7 downto 0) )。 architecture behave of control_unit is 數(shù)據(jù)總線和 地址總線 signal ABUS : std_logic_vector(7 downto 0)。 d : in unsigned(7 downto 0)。 ContolUnit entity control_unit is port 程序計數(shù)器 系統(tǒng)總線 d0 d7 … .. z et clk c ld r q7 … .. 地址寄存器 clk Load z d0 d7 … .. … .. q0 q0 q7 … .. 數(shù)據(jù)寄存器 Cot(1) q0 q7 … .. zq Loadq zd clk … .. d0 d7 d0 d7 … .. Cot(0) Cot(0) clk load 指令寄存器 d0 d7 d7 d0 Loadd q0 q7 … .. … .. … .. q6 q5 38 譯碼器 A2 A1 A0 ………. Y7 Y0 ( loadd,loadq,loada,loadi同步置數(shù)端口高電平有效 zq,zd 三態(tài)控制端口,低電平有效 zpc,za 三態(tài)控制端口,高 電平有效 clk 時鐘信號,上升沿有效 ld 程序計 數(shù)器的預(yù)置數(shù)端口,低電平有效 r 程序計數(shù)器的清零端口,低電平有效 et 控制程序計數(shù)器自動加 1 功能 qd 數(shù)據(jù)從內(nèi)存讀出數(shù)據(jù)后送入系統(tǒng)總線的輸入端,也是輸出端口 ic 譯碼器的輸出端口,即:控制信號。數(shù)據(jù)寄存器的三態(tài)控制端口 Clk:時鐘信號 Zpc: 程序計數(shù)器的三態(tài)控制端口 Za:地址寄存器的三態(tài)控制端口 ld:程序計數(shù)器的同步置數(shù)端口 r:程序計數(shù)器的同步清零端口 et:程序計數(shù)器的加 1 控制端口 cot: cot(0),cot(1)分別控制 數(shù)據(jù)寄存器和程序計數(shù)器向地 址寄存器和指令寄存器的數(shù)據(jù)傳送 qd:數(shù)據(jù)的雙向輸入輸出端口 (連接系統(tǒng) 數(shù)據(jù) 總線 )數(shù)據(jù)從外部先送到系統(tǒng)總線才可以送到數(shù)據(jù)寄存器 da:地址寄存器輸出端口(連接系統(tǒng) 地址 總線) ic:經(jīng)過譯碼器輸出的控制信號 d:程序計數(shù)器的置數(shù)端口。 仿真 設(shè)計仿真波形數(shù)據(jù), 模擬取指令、訪存取數(shù)據(jù)、訪存存數(shù)據(jù)等操作。 ② 只有數(shù)據(jù)寄存器和地址寄存器與系統(tǒng)總線連接。 存數(shù)據(jù)機器周期 :把地址寄存器的內(nèi)容送到地址總線,把數(shù)據(jù)寄存器中的數(shù)據(jù)送到數(shù)據(jù)總線,延遲一段時間后結(jié)束。本實驗只需要做頂層設(shè)計。青島理工大學 實 驗 報 告 實驗課程: 計算機組成原理 I 實驗日期: 2021 年 11 月 15 日 , 交報告日期: 2021 年 12 月 2 日 ,成績: 實驗地點:現(xiàn)代教育技術(shù)中心 101(計算機 實驗室 ) 計算機工程 學院 ,計算機科學與技術(shù) 專業(yè) , 班級: 計算 112 班 實驗指導教師: 劉淑霞 批閱教師: 同組學生 姓名 任師鋒 秦世帥 學號 202107063 202107061 一、 實驗課題 按照題目要求設(shè)計 計算機控制器的基本邏輯(不包括微操作信號產(chǎn)生電路),決定外部的端口(名稱、有效電平)和內(nèi)部各元件的連接,畫出系統(tǒng)框圖和邏輯圖,設(shè)計仿真數(shù)據(jù),用 VHDL 編程和仿真。包括:程序計數(shù)器、指令寄存器、數(shù)據(jù)寄存器、地址寄存器、指令譯碼器等。 取數(shù)據(jù)機器周期 :把地址寄存器的內(nèi)容送到地址總線,延遲一段時間后把從存儲器中讀出的數(shù)據(jù)(通過數(shù)據(jù)總線)送到數(shù)據(jù)寄存器。 提示 2: 控制器與系統(tǒng)總線的連接方法,有兩種結(jié)構(gòu)可以考慮: ① 程序計數(shù)器、指令寄存器、數(shù)據(jù)寄存器、地址寄存器都與系統(tǒng)總線有直接連接。從存儲器中讀出的指令必須先送到數(shù)據(jù)寄
點擊復制文檔內(nèi)容
畢業(yè)設(shè)計相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1