【文章內(nèi)容簡介】
碼,只處理字母3) 二進制編碼器CODE3:根據(jù)鍵盤碼的ASCII碼,用verilog寫高、中、低三個音階的分別對應(yīng)的鍵盤字母代碼,與F_CODE模塊相對應(yīng),以此來擴大音域4) 模塊F_CODE:1 模塊F_CODE的功能首先是為模塊SPKER提供決定所發(fā)音符的分頻預(yù)置數(shù),而此數(shù)在SPKER輸入口停留的時間即為此音符的節(jié)拍長度。2 同時,F(xiàn)_CODE把CODE3中音符的代碼轉(zhuǎn)化為音符頻率所對應(yīng)的數(shù)值3 與模塊DECL7S結(jié)合顯示音符4 H[3..0]顯示0,1,2表示高、中、低三個音階5) 模塊DECL7S:7段譯碼顯示模塊,在數(shù)碼管上顯示1,2,3,4,5,6,7音符6) 模塊RAM:與模塊t10b結(jié)合記錄彈奏的樂曲7) 模塊ROM:與模塊t138b結(jié)合可自動播放儲存的音樂8) 模塊shizhong:可記錄彈奏時間9) 模塊VGA:顯示按鍵的位置,當音樂響時同步顯示對應(yīng)的音的鍵盤位置10)模塊SPEAK輸出每一個音符的音調(diào)11)模塊MUX21選擇播放RAM中的音樂或ROM中的儲存音樂第四章 PS2鍵盤控制電子琴(VHDL) PS2鍵盤控制電子琴程序共分為DIVDIV2分頻模塊,PS2鍵盤控制模塊,music發(fā)音模塊,tone音符分頻模塊,spk發(fā)聲模塊。library IEEE。use 。use 。use 。 Unment the following library declaration if instantiating any Xilinx primitives in this code.library UNISIM。use 。entity Div1 is PORT(clk_50mhz: IN STD_LOGIC。 輸入時鐘為50MHZ。 c0: OUT STD_LOGIC。c1: OUT STD_LOGIC)。 END Div1。architecture art of Div1 isbeginD1: PROCESS(clk_50mhz) 工作進程開始,將50MHZ時鐘50分頻,=1MHZ。VARIABLE count6:INTEGER RANGE 0 TO 50。 BEGINIF(clk_50mhz 39。EVENT AND clk_50mhz =39。139。)THEN count6:=count6+1。 IF count6=25 THEN c0 =39。139。 ELSIF count6=50 THEN c0 =39。039。 count6:=0。END IF。END IF。END PROCESS。D2: PROCESS(clk_50mhz) 將50MHZ時鐘100分頻,=;VARIABLE count7: INTEGER RANGE 0 TO 100。BEGINIF(clk_50mhz 39。EVENT AND clk_50mhz =39。139。)THEN count7:=count7+1。 IF count7=50 THEN c1=39。139。 ELSIF count7=100 THEN c1 =39。039。 count7:=0。END IF。END IF。END PROCESS。END art。library IEEE。use 。use 。use 。 Unment the following library declaration if instantiating any Xilinx primitives in this code.library UNISIM。use 。entity Div2 is PORT(clk4: IN STD_LOGIC。 輸入時鐘為1MHZ。 c2: OUT STD_LOGIC。 輸出100khz; c3ut std_logic)。 輸出時鐘為4HZ。END Div2。ARCHITECTURE art OF Div2 ISbeginD1: PROCESS(clk4) 工作進程開始,將1MHZ時鐘10分頻。VARIABLE count8:INTEGER RANGE 0 TO 10。 BEGINIF(clk4 39。EVENT AND clk4 =39。139。)THEN count8:=count8+1。 IF count8=5 THEN c2 =39。139。 ELSIF count8=10 THEN c2 =39。039。 count8:=0。END IF。END IF。END PROCESS。D2: PROCESS(clk4) 250000分頻;VARIABLE count9: INTEGER RANGE 0 TO 250000。BEGINIF(clk4 39。EVENT AND clk4 =39。139。)THEN count9:=count9+1。 IF count9=125000 THEN c3=39。139。 ELSIF count9=250000 THEN c3 =39。039。 count9:=0。END IF。END IF。END PROCESS。END art。library IEEE。use 。use 。use 。 Unment the following library declaration if instantiating any Xilinx primitives in this code.library UNISIM。use 。entity ps2 is Port ( sysclk: in std_logic。 ps2clk: in std_logic。 ps2data: in std_logic。 reset: in std_logic。 result: out std_logic_vector(7 downto 0))。 end ps2。architecture art of ps2 issignal ps2clk_r : std_logic_vector(2 downto 0)。 signal ps2clkfall : std_logic。