【文章內(nèi)容簡(jiǎn)介】
process(a,) () begin if sel=‘0’then c:=a。 (= ,改為c=a)else c:=b。 (= ,改為c=b) end if。 end process 。 end architecture two。 (5. two改為mux21)13.在VHDL中,如何描述時(shí)鐘信號(hào)上升沿和下降沿?請(qǐng)分別列舉兩種不同的方法14.?dāng)⑹鲞M(jìn)程語(yǔ)句的語(yǔ)法格式,并說(shuō)明各組成部分的功能和作用。(回答要詳細(xì)) process[(敏感信號(hào)表)] [進(jìn)程說(shuō)明部分] begin {順序處理語(yǔ)句} end process [標(biāo)號(hào)];1. 敏感信號(hào)表內(nèi)為信號(hào)列表,該表內(nèi)的信號(hào)的變化將引起進(jìn)程的執(zhí)行。多數(shù)VHDL綜合器要求敏感信號(hào)表必須列出本進(jìn)程中所有輸入信號(hào)名。2. 進(jìn)程說(shuō)明用來(lái)定義在該進(jìn)程中需要用到的局部量,如變量、常數(shù)等,在此處定義的變量是局部量,只能在該進(jìn)程中使用,其他地方不能使用。特別強(qiáng)調(diào)在進(jìn)程說(shuō)明部分只能定義局部變量,不能定義信號(hào)和共享變量。3. 順序描述語(yǔ)句是一段順序執(zhí)行的語(yǔ)句,:信號(hào)賦值,變量賦值,if語(yǔ)句,case語(yǔ)句等。15.進(jìn)程的敏感信號(hào)表具有什么作用?列出敏感信號(hào)時(shí)應(yīng)注意什么?(回答要詳細(xì))答:敏感信號(hào)表中有多個(gè)敏感信號(hào)時(shí),其中任一個(gè)信號(hào)的變化都會(huì)引起進(jìn)程啟動(dòng),寫(xiě)敏感信號(hào)表時(shí),盡量將在進(jìn)程中被讀取的信號(hào)列全。若無(wú)敏感信號(hào)表,就必須放一個(gè)WAIT語(yǔ)句在進(jìn)程內(nèi)作為進(jìn)程啟動(dòng)語(yǔ)句16. 進(jìn)程設(shè)計(jì)要點(diǎn)是什么? (回答要詳細(xì))216。 PROCESS為一無(wú)限循環(huán)語(yǔ)句216。 PROCESS中的順序語(yǔ)句具有明顯的順序/并行運(yùn)行雙重性進(jìn)程內(nèi)部只能加載順序語(yǔ)句,但進(jìn)程本身是并行語(yǔ)句出現(xiàn)在結(jié)構(gòu)體中,它與其他并行結(jié)構(gòu)或進(jìn)程之間在結(jié)構(gòu)體中是并行運(yùn)行的216。 進(jìn)程語(yǔ)句本身是并行語(yǔ)句216。 一個(gè)進(jìn)程中只允許描述對(duì)應(yīng)于一個(gè)時(shí)鐘信號(hào)的同步時(shí)序邏輯 216。 進(jìn)程必須由敏感信號(hào)的變化來(lái)啟動(dòng)敏感信號(hào)表中有多個(gè)敏感信號(hào)時(shí),其中任一個(gè)信號(hào) 的變化都會(huì)引起進(jìn)程啟動(dòng),寫(xiě)敏感信號(hào)表時(shí),盡量將在進(jìn)程中被讀取的信號(hào)列全。無(wú)敏感信號(hào)表,就必須放一WAIT語(yǔ)句在進(jìn)程內(nèi)作為進(jìn)程啟動(dòng)語(yǔ)句216。 信號(hào)是多個(gè)進(jìn)程間的通信線在結(jié)構(gòu)體中多個(gè)進(jìn)程可以并行運(yùn)行,多個(gè)進(jìn)程之間的通 信是通過(guò)信號(hào)來(lái)實(shí)現(xiàn)。因此,在任一進(jìn)程的進(jìn)程說(shuō)明部分不允許定義信號(hào)第6章PPT課件作業(yè)1. 順序語(yǔ)句和并行語(yǔ)句分別有哪些?順序語(yǔ)句和并行語(yǔ)句主要有什么區(qū)別?2. 用IF和whenelse語(yǔ)句編寫(xiě)全加器(自己結(jié)合PPT,編程實(shí)現(xiàn)。)3. 用元件例化法實(shí)現(xiàn)4位加法器。(自己結(jié)合2位加法器的方法,編程實(shí)現(xiàn)。)4. 閱讀下面的程序,分析其實(shí)現(xiàn)的邏輯功能,并說(shuō)明是時(shí)序邏輯還是組合邏輯 library ieee。Use 。Entity decoder is Port (a : in std_logic_vector(9 downto 0);c : out intege