freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga自適應(yīng)pid控制器的nios系統(tǒng)設(shè)計(jì)畢業(yè)論文(編輯修改稿)

2025-07-19 20:32 本頁(yè)面
 

【文章內(nèi)容簡(jiǎn)介】 /FPGA廠家提供的開(kāi)發(fā)工具(如MAXPLUSII,Quartus II,F(xiàn)oundation,ISE)中完成,本次設(shè)計(jì)采用Quartus II開(kāi)發(fā)軟件,但許多集成的PLD開(kāi)發(fā)軟件只支持VHDL/Verilog的子集,可能造成少數(shù)語(yǔ)法不能編譯,如果采用專用HDL工具分開(kāi)執(zhí)行,效果會(huì)更好,否則這么多出售專用HDL開(kāi)發(fā)工具的公司就沒(méi)有存在的理由了。 VHDL程序模板 對(duì)于任何一個(gè)VHDL程序,即可以獨(dú)立運(yùn)行,又可以給更高的程序提供服務(wù),也就是說(shuō)一個(gè)VHDL程序即可以作為一個(gè)獨(dú)立的程序運(yùn)行,又可以作為是一個(gè)子模塊,子程序。: PE模型結(jié)構(gòu) 不管完成一個(gè)復(fù)雜功能的大程序,還是完成小功能的小程序,都以PE模型去思考,都有外部的輸入?yún)?shù),輸出參數(shù),學(xué)習(xí)參數(shù)和意識(shí)參數(shù),內(nèi)部的過(guò)程、知識(shí)庫(kù)、作用和反饋組成。在實(shí)現(xiàn)過(guò)程可以為空,但在設(shè)計(jì)過(guò)程不代表沒(méi)有。而且每個(gè)程序都是相對(duì)獨(dú)立的,在時(shí)間是并行工作。這樣做對(duì)于完成一個(gè)簡(jiǎn)單功能的小的程序顯現(xiàn)不出它的優(yōu)點(diǎn),在完成一個(gè)復(fù)雜功能的程序時(shí)它的優(yōu)點(diǎn)就顯現(xiàn)出來(lái)。每一個(gè)程序PE可以給任何一個(gè)PE提供服務(wù),如果各種PE編寫(xiě)完成后,程序的編寫(xiě),就是其連接的關(guān)系,如用VHDL實(shí)現(xiàn)系統(tǒng)功能控制時(shí),“初始化”對(duì)各個(gè)PE模塊使用的信號(hào)及內(nèi)部變量初始化,“管理程序”根據(jù)系統(tǒng)功能或者任務(wù)要求將PE“輸入”“輸出”連接起來(lái)完成其任務(wù)。“維護(hù)更新”目的修改PE內(nèi)部的知識(shí)庫(kù)。大的功能是通過(guò)小的功能,通過(guò)不同的關(guān)系組合起來(lái)。為了實(shí)現(xiàn)采集處理的高速性,我們把采集,濾波,存儲(chǔ),處理,輸出都由VHDL硬件描述語(yǔ)言進(jìn)行編寫(xiě),從而生成相應(yīng)硬件控制處理模塊。各個(gè)部分相對(duì)獨(dú)立,模塊間通過(guò)全局變量來(lái)進(jìn)行信息的溝通。整個(gè)系統(tǒng)采用順序工作方式,過(guò)程采用流水線工作,即采集,濾波,存儲(chǔ),處理,輸出邏輯上并行,時(shí)間上是并行的,是真正意義上的并行處理 其初始化程序分布在整個(gè)采集程序的各個(gè)進(jìn)程中,它主要對(duì)全局信號(hào)和各進(jìn)程中局部變量賦初值。而讀取雙口RAM,采集A/D轉(zhuǎn)換值,輸出采集數(shù)據(jù)三個(gè)功能模塊,采用三個(gè)并行進(jìn)程來(lái)實(shí)現(xiàn)其各自功能,且它們的運(yùn)行都與時(shí)鐘同步,,能夠消除不必要的等待時(shí)間,盡量保證系統(tǒng)穩(wěn)定高速的運(yùn)行。程序的流程圖如下(): A/D采集流程圖:library ieee。use 。use 。use 。entity ad9220 is port( reset_n,clk:in std_logic。 jdata:in std_logic_vector(11 downto 0)。 jsclk,firclk:out std_logic。 ad_out:out std_logic_vector(1 downto 0)。 ad_caiji:out std_logic_vector(11 downto 0)。 ad_geiding:out std_logic_vector(15 downto 0) )。end entity ad9220。architecture behav of ad9220 issignal ad_cs:std_logic_vector(1 downto 0)。signal ad_data1,ad_data2:std_logic_vector(11 downto 0)。 signal present_state,next_state:std_logic_vector(2 downto 0)。beginprocess(clk,reset_n) variable fir_count:std_logic_vector(11 downto 0)。 variable firclk_ctrl:std_logic。 begin if(reset_n=39。039。) then firclk_ctrl:=39。039。 fir_count:=x000。 elsif (clk39。event and clk=39。139。) then fir_count:=fir_count+1。 if(fir_count = x0FA) then 250*20ns*2 = 5us*2 = 100kHz=1e5 fir_count:=x000。 if(firclk_ctrl=39。039。) then firclk_ctrl:=39。139。 firclk=39。139。 else firclk_ctrl:=39。039。 firclk=39。039。 end if。 end if。 end if。 end process。process(clk,reset_n) variable ad_count:std_logic_vector(1 downto 0)。 begin if(reset_n=39。039。) then jsclk=39。139。 elsif (clk39。event and clk=39。139。) then case next_state is when 000 = jsclk=39。139。 ad_cs=10。 present_state=001。 0 when 001 = jsclk=39。139。 ad_cs=10。 du di yi pian 20 present_state=010。 when 010 = jsclk=39。139。 ad_cs=10。 40ns present_state=011。 when 011 = jsclk=39。039。 ad_cs=10。 ad_data1=jdata。 du di yi pian 60ns13ns=47ns present_state=100。 when 100 = jsclk=39。039。 ad_cs=01。 du di er pian present_state=101。 when 101 = jsclk=39。039。 ad_cs=01。 present_state=110。 20 when 110 = jsclk=39。039。 ad_cs=01。 present_state=111。 40 when 111 = jsclk=39。039。 ad_cs=01。 ad_data2=jdata。 present_state=000。 60 when others = jsclk=39。139。 present_state=000。 end case。 end if。 end process。process(ad_cs) begin if(ad_cs=00) then ad_out=11。 else ad_out=ad_cs。 end if。 end process。process(present_state) begin next_state=present_state。 end process。process(clk) begin if (clk39。event and clk=39。039。) then ad_caiji=ad_data1。 end if。 end process。process(clk) begin if (clk39。event and clk=39。039。) then ad_geiding=0000amp。ad_data2。 end if。 end process。end architecture behav。,: AD9220硬件采集控制軟核 FIR濾波模塊消除電源和雜波沖擊影響,移位平均濾波是最有效的方法。把連續(xù)取N個(gè)采樣值看成一個(gè)隊(duì)列,隊(duì)列的長(zhǎng)度固定為N ,每次采樣到一個(gè)新數(shù)據(jù)放入隊(duì)尾,并扔掉原來(lái)隊(duì)首的一次數(shù)據(jù) (先進(jìn)先出原則)。把隊(duì)列中的N個(gè)數(shù)據(jù)進(jìn)行算術(shù)平均運(yùn)算,就可獲得新的濾波結(jié)果。N值較大時(shí):信號(hào)平滑度較高,但靈敏度較低 N值較小時(shí):信號(hào)平滑度較低,但靈敏度較高 它的優(yōu)點(diǎn)是對(duì)周期性干擾有良好的抑制作用,平滑度高;適用于高頻振蕩的系統(tǒng)。缺點(diǎn)為:靈敏度低;對(duì)偶然出現(xiàn)的脈沖性干擾的抑制作用較差;不易消除由于脈沖干擾所引起的采樣值偏差;不適用于脈沖干擾比較嚴(yán)重的場(chǎng)合;不適用于脈沖干擾比較嚴(yán)重的場(chǎng)合。本次設(shè)計(jì)N值取16。 程序判斷濾波即把每次采樣到的新數(shù)據(jù)先進(jìn)行限幅處理,再送入隊(duì)列進(jìn)行后續(xù)處理,對(duì)于偶然出現(xiàn)的脈沖性干擾,可消除由于脈沖干擾所引起的采樣值偏差。以前此部分都是通過(guò)程序軟件進(jìn)行判斷,現(xiàn)在我們采用生成硬件的方式來(lái)實(shí)現(xiàn),保證了數(shù)據(jù)處理的高速和實(shí)時(shí)性,對(duì)異常數(shù)據(jù)有很好的抑制。FIR濾波器的結(jié)構(gòu)主要是非遞歸結(jié)構(gòu),沒(méi)有輸出到輸入的反饋。并且FIR濾波器很容易獲得 嚴(yán)格的線性相位特性,避免被處理信號(hào)產(chǎn)生相位失真。而線性相位體現(xiàn)在時(shí)域中僅僅是h( n)在時(shí)間上的延遲,這個(gè)特點(diǎn)在圖像信號(hào)處理、數(shù)據(jù)傳輸?shù)炔ㄐ蝹鬟f系統(tǒng)中是非常重要的。此外,他不會(huì)發(fā)生阻塞現(xiàn)象,能避免強(qiáng)信號(hào)淹沒(méi)弱信號(hào),因此特別適合信號(hào)強(qiáng)弱相差懸殊的情況。整體濾波過(guò)程,: 采集數(shù)據(jù)濾波過(guò)程 FIR的原理及其算法實(shí)現(xiàn)。FIR 濾波器的設(shè)計(jì)問(wèn)題實(shí)質(zhì)上是確定能滿足所要求的轉(zhuǎn)移序列或脈沖響應(yīng)的常數(shù)的問(wèn)題, 設(shè)計(jì)方法主要有窗函數(shù)法、頻率采樣法和等波紋最佳逼近法等。本次設(shè)計(jì)采用Altera公司提供的FIR IP核組件設(shè)計(jì),只需對(duì)工程需求分析出采樣時(shí)間、截止頻率、合適的窗函數(shù),輸入相應(yīng)參數(shù),就可以很方便的實(shí)現(xiàn)需要的FIR濾波設(shè)計(jì)。下面主要介紹下窗函數(shù)選擇及窗口長(zhǎng)度的計(jì)算。窗函數(shù)設(shè)計(jì)法的步驟: 窗函數(shù)設(shè)計(jì)法是一種通過(guò)截短和計(jì)權(quán)的方法使無(wú)限長(zhǎng)非因果序列成為有限長(zhǎng)脈沖響應(yīng)序列的設(shè)計(jì)方法。通常在設(shè)計(jì)濾波器之前, 應(yīng)該先根據(jù)具體的工程應(yīng)用確定濾波器的技術(shù)指標(biāo)。在大多數(shù)實(shí)際應(yīng)用中, 數(shù)字濾波器常常被用來(lái)實(shí)現(xiàn)選頻操作, 所以指標(biāo)的形式一般為在頻域中以分貝值給出的相對(duì)幅度響應(yīng)和相位響應(yīng)。用窗函數(shù)法設(shè)計(jì)FIR 濾波器的步驟如下:( 1) 根據(jù)過(guò)渡帶寬及阻帶衰減要求, 選擇窗函數(shù)的類型并估計(jì)窗口長(zhǎng)度N( 或階數(shù)M=N 1) 。窗函數(shù)類型可根據(jù)最小阻帶衰減AS 獨(dú)立選擇, 因?yàn)榇翱陂L(zhǎng)度N 對(duì)最小阻帶衰減AS 沒(méi)有影響。在確定窗函數(shù)類型以后, 可根據(jù)過(guò)渡帶寬小于給定指標(biāo)確定所擬用的窗函數(shù)的窗口長(zhǎng)度N。設(shè)待求濾波器的過(guò)渡帶寬為△w,它與窗口長(zhǎng)度N 近似成反比。窗函數(shù)類型確定后, 其計(jì)算公式也確定了, 不過(guò)這些公式是近似的, 得出的窗口長(zhǎng)度還要在計(jì)算中逐步修正。原則是在保證阻帶衰減滿足要求的情況下, 盡量選擇較小的N。在N 和窗函數(shù)類型確定后, 即可在QuartusII中配置FIR IP核參數(shù)。( 2) 根據(jù)待求濾波器的理想頻率響應(yīng)求出理想單位脈沖響應(yīng)hd(n)。如果給出待求濾波器的頻率響應(yīng)為,則理想的單位脈沖響應(yīng)可以用下面的傅里葉反變換式求出:在一般情況下, hd (n)是不能用封閉公式表示的, 需要采用數(shù)值方法表示。從ω=0 到ω=2π采樣N 點(diǎn), 采用離散傅里葉反變換(IDFT)即可求出。再根據(jù)要求設(shè)置采樣頻率和截止頻率配置。使用窗函數(shù)法設(shè)計(jì)時(shí)要滿足以下兩個(gè)條件:( 1) 窗譜主瓣盡可能地窄, 以獲得較陡的過(guò)渡帶。( 2) 盡量減少窗譜的最大旁瓣的相對(duì)幅度, 也就是使能量盡量集中于主瓣, 減小峰肩和紋波, 進(jìn)而增加阻帶的衰減。根據(jù)工程經(jīng)驗(yàn), 給定的濾波器指標(biāo)參數(shù)一般為通帶截止頻率ωp 、阻帶截止頻率ωs、實(shí)際通帶波動(dòng)Rp 和最小阻帶衰減As。綜上所述,根據(jù)工程要求,采樣頻率采用10K赫茲,截止頻率3K赫茲,選用布萊克曼窗,它主瓣寬,旁瓣小,頻率識(shí)別精度最低,但幅值識(shí)別精度最高,可以提高系統(tǒng)采樣精度。a.fir濾波程序library IEEE。use 。ENTITY fir IS PORT ( clk : IN STD_LOGIC。 rst : IN STD_LOGIC。 data_in : IN STD_LOGIC_VECTOR (11 DOWNTO 0)。 fir_result : OUT STD_LOGIC_VECTOR (15 DOWNTO 0)。 done : OUT STD_LOGIC。 rdy_to_ld : OUT STD_LOGIC )。END fir。ARCHITECTURE SYN OF fir ISattribute altera_attribute : string。attribute altera_a
點(diǎn)擊復(fù)制文檔內(nèi)容
范文總結(jié)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1