freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

[工學]電子砰設(shè)計資料(編輯修改稿)

2025-02-14 12:30 本頁面
 

【文章內(nèi)容簡介】 序流程圖3.數(shù)據(jù)處理模塊 數(shù)據(jù)處理模塊包括接收部分,數(shù)據(jù)RAM,乘法運算模塊等。系統(tǒng)將商品的代號、名稱、單價等信息存于數(shù)據(jù)RAM中,當接收到AD轉(zhuǎn)換器的數(shù)據(jù)(電壓信號)后,將其轉(zhuǎn)化為相應(yīng)重量數(shù)據(jù)信號,并將其進行乘法運算得到金額,即 金額=重量單價并自動檢測商品是否大于稱重傳感器的量程,如果大于傳感器的量程則向報警電路輸出一個低電平信號BG,使發(fā)光二極管點亮。數(shù)碼管全部顯示零。在進行乘法運算得出結(jié)果后,各信息送到LED或LCD譯碼模塊。數(shù)據(jù)RAM乘法模塊接收AD轉(zhuǎn)換數(shù)據(jù)電壓重量轉(zhuǎn)換模塊至譯碼模塊判斷模塊報警信號鍵盤控制 數(shù)據(jù)處理模塊原理圖4. 譯碼顯示模塊譯碼顯示模塊是建立在內(nèi)部系統(tǒng)和觀測人之間的橋梁。通過譯碼顯示,使輸出結(jié)果可視化,界面友好化。 該模塊由LED譯碼和LCD譯碼組成,主要是將各信息量轉(zhuǎn)換成相應(yīng)的顯示代碼。LED譯碼模塊是將數(shù)字量轉(zhuǎn)換為BCD碼來驅(qū)動數(shù)碼管。采用動態(tài)顯示,因此采用VHDL狀態(tài)機設(shè)計,共分為八個狀態(tài),即八個數(shù)碼管的位碼依次選通點亮數(shù)碼管。用FPGA驅(qū)動液晶顯示的原理是對LM3037進行控制,通過8位的總線,先對LM3037進行配置,即寫入命令,然后輸入要顯示的內(nèi)容,即寫入數(shù)據(jù)。 液晶驅(qū)動程序狀態(tài)轉(zhuǎn)換圖5. 鍵盤控制模塊鍵盤控制電路由16個按鍵組成,其中數(shù)據(jù)鍵10個,控制鍵6個。鍵盤控制程序的設(shè)計將各按鍵設(shè)置為特定的功能,使用起來十分方便??梢酝ㄟ^鍵盤設(shè)置日期、時間、商品代號、單價等不同信息。 程序設(shè)計頂層映射原理 系統(tǒng)的程序設(shè)計是基于VHDL的模塊化設(shè)計,通過ISE工程編輯器(Project navigator)產(chǎn)生原理圖模塊(Create Schematic Symbol)或自動產(chǎn)生頂層映射的原理圖(View RTL Schematic), 程序清單及仿真 程序清單(見附錄)。設(shè)計輸入利用VHDL語言完成后,接下來是對程序進行功能仿真(Function Simulation)其仿真的目的是檢查程序是否有錯,是否達到預(yù)定的目標。之后是時序仿真(Timing Simulation),其目的是通過時序可以更清楚的了解程序的工作過程,在較復(fù)雜的系統(tǒng)中時序仿真是必不可少的。各模塊的時序仿真圖見附錄。 電子稱程序設(shè)計頂層映射原理圖第四章 系統(tǒng)測試在系統(tǒng)硬件和軟件都安裝調(diào)試好后,便可以進行軟件和硬件的綜合測試,并對測試的誤差進行分析。序號名稱型號數(shù)量備注13位半數(shù)字萬用表UNIT 1深圳勝利公司 2直流穩(wěn)壓電源DF1731SC2A 1江蘇揚中電子儀器廠 3信號發(fā)生器GFG8216A1南京無線電儀器廠 4雙蹤示波器YB43651江蘇揚中電子儀器廠5FPGA實驗儀DPFPGA 1廣州致遠電子有限公司在系統(tǒng)硬件焊接完成及軟件功能仿真、下載成功之后。接下來對整個系統(tǒng)進行調(diào)試,其方法如下:將傳感器放平,接好電路,使用直流穩(wěn)壓電源(DF1731SC2A)為FPGA及其他電路提供+5V電壓,為傳感器提供12V電壓,不放任砝碼時看重量顯示是否為零,不為零則調(diào)整電位器,改變放大器的放大倍數(shù),使重量值為零,加上不同的砝碼,記下顯示的重量Mx(Kg)。最后進行系統(tǒng)誤差計算。在測試過程中根據(jù)出現(xiàn)的問題改進電路以及程序。反復(fù)進行直到成功。0002 西瓜 2005年8月2日 10:25LCD顯示重量(Kg)金額(元)LED顯示 系統(tǒng)測試結(jié)果顯示 根據(jù)上節(jié)的測試方法,設(shè)M為砝碼的質(zhì)量,Mx為電子稱顯示的重量,. 測量結(jié)果數(shù)據(jù)表序號砝碼質(zhì)量/M(g)顯示質(zhì)量/Mx(g)誤差(g)相對誤差%150%2 100 0 03 150 004 200 005 250 %6 300 007 350 008 400 %表中誤差為相對誤差,其計算公式為() 由上式可以看出,系統(tǒng)的測量誤差取決于兩個量M、Mx,計算得出的數(shù)據(jù)越大,說明誤差越大,系統(tǒng)精度越低,從表只可以看出,系統(tǒng)的誤差小于 % 遠小于設(shè)計要求的誤差。第五章 設(shè)計總結(jié)設(shè)計采用FPGA最小系統(tǒng)為控制核心,實現(xiàn)了一款具有特色的實用電子稱。通過測試,系統(tǒng)完全達到了設(shè)計要求,不但完成了基本要求,發(fā)揮部分的要求,并增加了語音提示、時鐘和過載提示三個創(chuàng)新功能。經(jīng)過幾天的努力實踐,不斷的測試,不斷的改進電路或程序,最后完成了設(shè)計。在設(shè)計過程中,我們不僅僅是完成了設(shè)計任務(wù),更重要的是學到很多課本上沒有的知識,同時也特別感謝各位老師和同學的幫助和支持,使我們這次設(shè)計能順利完成。參考文獻1. 潘松,黃繼業(yè).EDA技術(shù)實用教程.北京:科學出版社,2002年10月第一版2. 肖景和.數(shù)字集成電路應(yīng)用精粹.北京:人民郵電出版社,2002年6月第1版3. 陳永甫.電子電路智能化設(shè)計實例與應(yīng)用.北京:電子工業(yè)出版社,2002年8月第1版4. 李輝.ISP系統(tǒng)設(shè)計技術(shù)入門與應(yīng)用.北京:電子工業(yè)出版社,2002年2月第1版5. 曾凡泰,陳美金著.VHDL程序設(shè)計.北京:清華大大學學出版社,2001年1月第2版6. 黃智偉.全國大學生電子設(shè)計競賽訓練教程.北京:電子工業(yè)出版式社,2005年1月第1版附錄1 主要元器件清單作用器件型號個數(shù)封裝放大器INA1261DIP8放大器LM3861DIP8放大器AD7111DIP8AD轉(zhuǎn)換TLC71351DIP16時鐘芯片PCF85631DIP8語音芯片ISD14201DIP28FPGA最小系統(tǒng)SpartanⅡEXC2S100E1DIP40譯碼器74HC1381DIP16I/O擴展82551DIP40 鍵盤控制 ZLG7289 1 DIP28 3289電位器 100K 4   10K 4   100歐 2   200歐 2  晶振 1   12M 1  鎖存器 74HC373 2 DIP20 壓力傳感器 LS-1稱重傳感器1  附錄2 印制板圖檢測部分底層印制板圖 (包括前置放大和AD轉(zhuǎn)換部分)檢測部分頂層印制板圖FPGA控制板印制板圖附錄3,程序清單第 33 頁 共 33 頁1頂層文件 Engineer: 徐文卿,藍仁富,唐正宇 Design Name: 電子稱 Module Name: TOP Project Name: 電子稱 Target Device: Tool versions: Description: 帶時鐘功能,同時顯示商品單價,總價,重量等信息。library IEEE。use 。use 。use 。entity top is port(clkin : in std_logic。 時鐘輸入 reset : in std_logic。 復(fù)位信號 keynum : in std_logic_vector(9 downto 0)。 數(shù)字鍵輸入 key : in std_logic_vector(5 downto 0)。 命令鍵輸入 clkout1 : out std_logic。 給ICL7135時鐘信號 din : in std_logic_vector(4 downto 0)。 ICL7135位選 bin : in std_logic_vector(3 downto 0)。 ICL7135數(shù)據(jù) str : in std_logic。 ICL7135輸出指示信號 scl : out std_logic。 PCF8563時鐘芯片SCL sda : inout std_logic。 PCF8563時鐘芯片SDA rs : out std_logic。 液晶輸出控制口RS rw : out std_logic。 液晶輸出控制口RWe : out std_logic。 液晶使能口Erst : out std_logic。 液晶復(fù)位psb : out std_logic。 工作時置高電平 lcddata : out std_logic_vector(7 downto 0)。 液晶數(shù)據(jù)口leden : out std_logic_vector(2 downto 0)。 數(shù)碼管位選口leddata : out std_logic_vector(7 downto 0) 數(shù)碼管段碼口 )。end top。architecture Behavioral of top isponent keyboard is 鍵盤模塊 port(clkin : in std_logic。 reset : in std_logic。 keynum : in std_logic_vector(9 downto 0)。 key : in std_logic_vector(5 downto 0)。 codewei : out std_logic_vector(1 downto 0)。 code : out std_logic_vector(15 downto 0) )。end ponent。 ICL7135模塊ponent icl7135 is port(clkin : in std_logic。 reset : in std_logic。 din : in std_logic_vector(4 downto 0)。 bin : in std_logic_vector(3 downto 0)。 str : in std_logic。 leddata : out std_logic_vector(15 downto 0) )。end ponent。ponent munication is PCF8563時鐘芯片模塊 port(scl : out std_logic。 sda : inout std_logic。 clkin : in std_logic。 reset : in std_logic。 lcddata : out std_logic_vector(31 downto 0)。 point : out std_logic )。end ponent。ponent fenpin is 分頻模塊 port(clkin : in std_logic。 reset : in std_logic。 clkout : out std_logic。 clkout1 : out std_logic )。end ponent。ponent leddisplay is 數(shù)碼管顯示驅(qū)動 port(clk : in std_logic。 reset : in std_logic。 data : in std_logic_vector(31 downto 0)。 point : in std_logic_vector(7 downto 0)。 leden : out std_logic_vector(2 downto 0)。 leddata : out std_logic_vector(7 downto 0) )。end ponent。ponent lcddisplay is 液晶顯示驅(qū)動 port(clkin : in std_logic。 reset : in std_logic。 codewei : in std_logic_vector(1 downto 0)。
點擊復(fù)制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1