freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

[工學(xué)]電子砰設(shè)計(jì)資料(參考版)

2025-01-21 12:30本頁(yè)面
  

【正文】 data=((00000000), 將時(shí)間寄存。 各個(gè)變量給初值 t2:=8。 一級(jí)狀態(tài)為寫狀態(tài) i2c=start。039。variable t3 : integer range 0 to 3。beginprocess(clkin,reset) I2C進(jìn)程variable t1 : integer range 0 to 9。器件地址2 A3type datatype is array (0 to 6) of std_logic_vector(7 downto 0)。年寄存器 8type addr2 is array (0 to 2) of std_logic_vector(7 downto 0)。type addr1 is array (0 to 8) of std_logic_vector(7 downto 0)。type i2cstate is(start,send,read,ans,sans,stop)。architecture Behavioral of munication istype state is (writestate,readstate)。 point : out std_logic )。 reset : in std_logic。 sda : inout std_logic。use 。use 。end Behavioral。end if。when others = t:=0。conv_std_logic_vector(t5,4)。conv_std_logic_vector(t3,4)amp。 else t:=0。when 5 = if datacon=x3e8 then 1000 t5:=t5+1。 else t:=5。when 4 = if datacon=x2710 then 10000 t4:=t4+1。 else t:=4。 when 3 = if datacon=x186a0 then 100000 t3:=t3+1。 else t:=3。 end if。 datacon:=dataconx989680。 t:=1。 t4:=0。 t2:=0。begin if rising_edge(clkin) then case t is when 0 = datacon:=tempprice。 轉(zhuǎn)成BCD碼variable t : integer range 0 to 5:=0。end process。 end case。 相乘 t:=0。 將重量由BCD碼轉(zhuǎn)成16進(jìn)制 t:=1。begin if rising_edge(clkin) then case t iswhen 0 = tempuprice=uprice(15 downto 12)*x3e8 +uprice(11 downto 8)*x64 +uprice(7 downto 4)*xa +uprice(3 downto 0)。signal tempprice : std_logic_vector(28 downto 0)。end multiply。 輸入單價(jià) weight : in std_logic_vector(15 downto 0)。entity multiply is port(clkin : in std_logic。use 。3乘法電路 Description:乘法電路將商品重量和商品單價(jià)輸入,將輸出商品總價(jià) Dependencies:本程序?qū)儆谑≈?,使用?jiǎn)單乘法,大量浪費(fèi)FPGA的資源,還有待改進(jìn) Revision: Revision File Created Additional Comments: library IEEE。end process。139。039。039。data(1)(6 downto 0)。data(3)(5 downto 0)amp。 lcddata=data(6)amp。end if。 end case。end case。 t3:=0。 pcfstate=readstate。when 3 = scl=39。139。 t3:=2。when 1 = scl=39。039。end case。t3:=0。 elsei2c=read。 if t1=7 then t1:=0。039。 t3:=2。 when 1 = scl=39。039。 end case。 t3:=0。 else i2c=read。 if t2=0 then t2:=8。039。 t3:=3。 t3:=2。 when 1 = scl=39。Z39。end case。 t3:=0。 elsif t1=1 theni2c=send。 elsif t1=3 then i2c=read。 t1:=t1+1。when 2 = scl=39。139。t3:=1。 when ans = case t3 is 應(yīng)答信號(hào) when 0 = sda=39。when others = null。 end if。 i2c=ans。 t2:=t21。 when 2 = scl=39。139。 t3:=1。end case。 t3:=0。039。 t3:=3。when 2 = sda=39。139。 t3:=1。when readstate = case i2c is 信號(hào)開始 when start = case t3 is when 0 = sda=39。 when others = null。 when others =null。 i2c=start。039。 t3:=3。 when 2 = sda=39。139。 t3:=1。 when stop = case t3 is 停止信號(hào) when 0 = sda=39。 when others = null。 end if。 i2c=stop。 t1:=t1+1。 when 2 = scl=39。 t3:=1。 scl=39。when ans = case t3 is 應(yīng)答信號(hào) when 0 = sda=39。 when others = null。 end if。 i2c=ans。 t2:=t21。 when 2 = scl=39。139。 t3:=1。 end case。 t3:=0。039。 t3:=3。 when 2 = sda=39。139。 t3:=1。 elsif rising_edge(clkin) then case pcfstate is when writestate = case i2c is when start = case t3 is 開始數(shù)據(jù)傳送信號(hào) when 0 = sda=39。 t3:=0。 二級(jí)狀態(tài)為開始狀態(tài) t1:=0。 then 復(fù)位 pcfstate=writestate。begin if reset=39。variable t2 : integer range 0 to 8。用來寄存讀到的數(shù)據(jù)的信號(hào)組signal data : datatype 。 讀前的初始和命令constant address2 : addr2 :=((10100010),器件地址A2(00000010),器件從地址02 (10100011))。 寫預(yù)設(shè)值,年月日constant address1 : addr1 :=((10100010),器件地址A2 0(00000010),器件從地址02 1 (00110000),秒寄存器 2(00100010),分寄存器 3(00010011),小時(shí)寄存器 4 (00100101),日期寄存器 5(00000001),星期寄存器 6(00010001),月份寄存器 7(00000001))。 I2C的各個(gè)子狀態(tài)signal i2c : i2cstate。 寫和讀兩個(gè)大的狀態(tài)signal pcfstate : state。end munication。lcddata : out std_logic_vector(31 downto 0)。 clkin : in std_logic。entity munication is port(scl : out std_logic。use 。2.PCF8563通訊使用的I2C程序 Description:與PCF8563通訊使用的I2C程序library IEEE。uprice=waredata(15 downto 0)。leddataa=weightamp。u8 : lcddisplay port map (clkin=dvclk, reset=reset, codewei=codewei, code=code, waredata=waredata, timedata=timedata, p=point, rs=rs, rw=rw, e=e, rst=rst, psb=psb, lcddata=lcddata)。u6 : multiply port map (clkin=clkin, uprice=uprice, weight=weight, price=price)。u4 : fenpin port map (clkin=clkin, reset=reset, clkout=dvclk,clkout1=clkout1)。u2 : icl7135 port map (clkin=clkin, reset=reset, din=din, bin=bin, str=str, leddata=weight)。 商品總價(jià)signal leddataa : std_logic_vector(31 downto 0)。 商品信息signal uprice : std_logic_vector(15 downto 0)。 秒鐘閃爍控制signal p : std_logic_vector(7 downto 0)。 分頻時(shí)鐘signal timedata : std_logic_vector(31 downto 0)。 商品編碼signal weight : std_logic_vector(15 downto 0)。signal codewei : std_logic_vector(1 downto 0)。 price : out std_logic_vector(15 downto 0) )。 uprice : in std_logic_vector(15 downto 0)。end ponent。 code : in std_logic_vector(15 downto 0)。end ponent。 psb : out std_logic。 e : out std_logic。 rs : out std_logic。 timedata : in std_logic_vector(31 downto 0)。 code : in std_logic_vector(15 downto 0)。 reset : in std_logic。end ponent。 leden : out st
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1