freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

課程設(shè)計(jì)-基于fpga的出租車計(jì)價(jià)器設(shè)計(jì)(編輯修改稿)

2025-02-13 04:10 本頁(yè)面
 

【文章內(nèi)容簡(jiǎn)介】 hen 0100 =dout0=0110011。 when 0101 =dout0=1011011。 when 0110 =dout0=1011111。 when 0111 =dout0=1110000。 when 1000 =dout0=1111111。 when 1001 =dout0=1111011。 when others =dout0=0000000。end case。end process。end one。:::控制模塊用于對(duì)數(shù)碼管里程、時(shí)間、費(fèi)用顯示的選擇,起到位選的作用,實(shí)現(xiàn)了數(shù)碼管動(dòng)態(tài)顯示,節(jié)省了芯片的資源。:(1)sel1模塊library ieee。use 。use 。entity sel1 is port(clk1:in std_logic。 s1:out std_logic_vector(1 downto 0))。end sel1。architecture sel_arc of sel1 isbegin process(clk1) variable t:std_logic_vector(1 downto 0)。begin if clk139。event and clk1=39。139。 then IF t=10 then t:=00。 else t:=t+1。 end if。 end if。s1=t。end process。end sel_arc。(2)sel2模塊library ieee。use 。entity sel2 isport(sel2:in std_logic_vector(1 downto 0)。 s2:out std_logic_vector(2 downto 0))。end sel2。architecture bbb_arc of sel2 isbegin process(sel2)begincase sel2 is when 00=s2=110。 when 01=s2=101。 when 10=s2=011。 when others=s2=ZZZ。end case。end process。end bbb_arc。:(1)sel1模塊(2)sel2模塊從波形圖可以看出當(dāng)片選信號(hào)是00時(shí),輸出選擇記程輸出。當(dāng)片選信號(hào)是01時(shí),輸出選擇記費(fèi)輸出。當(dāng)片選信號(hào)是10時(shí),輸出選擇等到時(shí)間輸出。,仿真結(jié)果及分析各模塊設(shè)計(jì)仿真實(shí)現(xiàn)后,可分別創(chuàng)建成元件符號(hào)。頂層就是將各分模塊用VHDL語(yǔ)言或者是圖形方法連接起來(lái),便可實(shí)現(xiàn)系統(tǒng)電路。:library ieee。use 。use 。use 。entity czc isport(clk,wr,ss,dn:in std_logic。 dnpd:out std_logic。 shuc1,shuc0:out std_logic_vector(6 downto 0)。 weix:out std_logic_vector(2 downto 0))。end entity czc。architecture one of czc isponent bzPORT(AJ:IN STD_LOGIC。 BZ:OUT STD_LOGIC)。end ponent。ponent pulse PORT(CLK0:IN STD_LOGIC。 FOUT:OUT STD_LOGIC)。end ponent。ponent ddzt PORT(CLK,SS:IN STD_LOGIC。 DDBZ:IN STD_LOGIC。 DDJFBZ:OUT STD_LOGIC。 DDSJ:OUT STD_LOGIC_VECTOR(7 DOWNTO 0))。end ponent。ponent jcport(clks,SS,WR:in std_logic。 LC:BUFFER std_logic_vector(7 downto 0))。end ponent。ponent lcjfbzport(SS:in std_logic。 LC:IN STD_LOGIC_VECTOR(7 DOWNTO 0)。 LCJFBZ:OUT std_logic)。 end ponent。ponent jf PORT( SS:IN STD_LOGIC。 DN:IN STD_LOGIC。 LC:IN std_logic_vector(7 downto 0)。 DDSJ:IN STD_LOGIC_VECTOR(7 DOWNTO 0)。 LCJFBZ:IN STD_LOGIC。 DDJFBZ:IN STD_LOGIC。 FY:OUT STD_LOGIC_VECTOR(7 DOWNTO 0))。 end ponent。ponent ymqport(din:in std_logic_vector(7 downto 0)。 dout1:out std_logic_vector(6 downto 0)。 dout0:out std_logic_vector(6 downto 0))。end ponent。ponent xzscport(jc,jf,wt:in std_logic_vector(7 downto 0)。sel:in std_logic_vector(1 downto 0)。q:out std_logic_vector(7 downto 0))。end ponent。ponent sel1port(clk1:in std_logic。s1:out std_logic_vector(1 downto 0))。end ponent。ponent sel2port(sel2:in std_logic_vector(1 downto 0)。s2:out std_logic_vector(2 downto 0))。end ponent。signal a,b,c,d,e,f,i,j,m,n:std_logic。signal x,y,z,W:std_logic_vector(7 downto 0)。signal k:std_logic_vector(1 downto 0)。 begindnpd=a。u1:bz port map(aj=dn,bz=a)。u2:bz port map(aj=ss,bz=b)。u3:bz port map(aj=wr,bz=c)。u4:pulse port map(clk0=clk,fout=d)。u5:pulse port map(clk0=d,fout=i)。u6:pulse port map(clk0=i,fout=j)。u7:pulse port map(clk0=j,fout=m)。u8:pulse port map(clk0=m,fout=n)。u9:jc port map(clks=n,ss=b,wr=c,lc=z)。u10:ddzt port map(clk=n,ss=b,ddbz=c,ddjfbz=f,ddsj=y)。u11:lcjfbz port map(ss=b,lc=z,lcjfbz=e)。u12:jf port map(ss=b,dn=a,lc=z,ddsj=y,lcjfbz=e,ddjfbz=f,fy=x)。u13:xzsc port map(jf=x,jc=z,wt=y,sel=k,q=W)。u14:sel1 port map(clk1=j,s1=K)。u15:sel2 port map(sel2=K,s2=weix)。u16:ymq port map(din=W,dout1=shuc1,dout0=shuc0)。end architecture one。、輸出信號(hào)說(shuō)明輸入:DN:day OR night控制;SS:Start OR stop控制;WR:wait OR run控制;CLK:輸入時(shí)鐘信號(hào),模擬時(shí)間和路程。輸出:DNPB:用于判別白天還是黑夜的輸出信號(hào),接至發(fā)光二極管,白天不發(fā)光,黑夜的時(shí)候發(fā)光。FY1:費(fèi)用的十位FY0:費(fèi)用的個(gè)位DDSJ1:等待時(shí)間的十位DDSJ0:等待時(shí)間的個(gè)位LC1:路程的十位LC0:路程的個(gè)位(見附錄二)6.硬件電路設(shè)計(jì)與安裝圖(見附錄三):器件名稱及個(gè)數(shù)杜邦線若干根電阻200歐姆21個(gè)1K3個(gè)20腳底座3個(gè)插針若干個(gè)90123個(gè)發(fā)光二極管1個(gè)數(shù)碼管6個(gè)按鈕開關(guān)3個(gè)芯片F(xiàn)PGA導(dǎo)線若干根7.硬件電路安裝與調(diào)試(1)根據(jù)硬件電路圖在通用板上布線(2)檢查元器件的好壞,確保每一個(gè)元器件是好的才能進(jìn)行焊接(焊接時(shí)要注意虛焊,短路等等)(3)焊好之后要根據(jù)安裝圖用萬(wàn)用表進(jìn)行測(cè)量,防止電路存在錯(cuò)誤(注意焊接要仔細(xì))(1)接入5伏電壓之后,開關(guān)模塊中有一個(gè)按鈕不能起作用,通過(guò)萬(wàn)用表檢測(cè),發(fā)現(xiàn)有一個(gè)點(diǎn)沒(méi)有連接上。(3)軟硬件連接時(shí),數(shù)碼管顯示亂碼。我們反復(fù)檢查程序后發(fā)現(xiàn)數(shù)碼管a~g的硬件引腳與軟件引腳接反了。最后,我們重新連接了引腳,達(dá)到了預(yù)期的效果。8.調(diào)試結(jié)果說(shuō)明及分析DN不按下(即發(fā)光二極管不亮),說(shuō)明是白天狀態(tài):(1)按下SS,計(jì)費(fèi)數(shù)碼管顯示09,記程數(shù)碼管開始變化。隨著記程數(shù)碼管顯示的數(shù)值超過(guò)3公里后,計(jì)費(fèi)數(shù)碼管按超出每公里3元計(jì)算。(2)再按下WR,等待時(shí)間數(shù)碼管開始計(jì)數(shù),記程、計(jì)費(fèi)數(shù)碼管均保持不變,當(dāng)?shù)却龝r(shí)間超過(guò)3分鐘后,計(jì)費(fèi)數(shù)碼管按超出每分鐘
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1