freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的乒乓球游戲機的設計與實現(xiàn)(專業(yè)版)

2025-09-09 09:02上一頁面

下一頁面
  

【正文】 = state = p2ready。 end if。 p1方得分 state = ends。039。= first=39。= first=39。) then state = waiting。 END ARCHITECTURE。 end if。 use 。記數(shù)器,分為 5Hz BEGIN if(rising_edge(clk)) then if(count = 99999) then count := 0。digit = d6。 when 3= d6 = 1111001。 d4 = 1111011。 d4 = 0110000。 when 6= d1 = 0000000。數(shù)碼管選通 digit:OUT STD_LOGIC_VECTOR(6 downto 0))。139。 use 。 total: OUT integer range 0 to 7。 END COMPONENT。 total = total1 + total2。 ENTITY change IS PORT(first:IN STD_LOGIC。 在具體設計過程中還需要改進的是控制系統(tǒng)的糾錯功能。 Score21(2)同理。 bcdout1:out std_logic_vector(1 to 7)。 把 20 到 21的 5位二進制碼轉(zhuǎn)換成七段譯碼 when10100=bcdout1=1101101。 when00000=bcdout1=0110000。 when00100=bcdout1=1111110。 use 。state=ballmoveto1。state=waitserve。state=waitserve。state=light8on。 elsif clk39。 //引用 必要的庫函數(shù)和包集合 entity pingponggame is 實體名為 pingponggame port(reset:int std_logic。開始的時候處于“等待發(fā)球狀態(tài)”,若甲發(fā)球則狀態(tài)轉(zhuǎn)移到“第一盞燈亮狀態(tài)”,若乙發(fā)球則轉(zhuǎn)移到“第八盞燈亮狀態(tài)”,具體說明以甲球為例。 VHDL 主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。 70 年代為計算機輔助設計(CAD)階段,人們開始用進行 IC 版圖編輯、 PCB 布局布線,取代了手工操作。 ( 1)通過該課程設計,結(jié)合計算機 科學的理論、抽象和設計三種形態(tài),進一步掌握計算機中各功能部件的工作原理和邏輯實現(xiàn),熟悉乒乓球游戲機的基本工作原理。又一枚金牌歸我中華。 系統(tǒng)開發(fā)平臺為 MAX+PLUSII,程序設計語言為 VHDL。 乒乓球游戲機控制電路是有甲、乙雙方參賽,有裁判控制發(fā)“球”的三人乒乓球游戲機;它能完成自動裁判和自動計分是一個帶數(shù)字顯示的模擬游戲機。 當甲方按動乒乓球開關(guān)時,靠近甲方的第一個發(fā)光二極管亮,然后發(fā)光二極管由甲方方向依次點亮,代表乒乓球的移動。 硬件描述語言 —— VHDL VHDL 的英文全名是 VeryHighSpeed Integrated Circuit HardwareDescription Language,誕生于 1982 年。 ( 3) VHDL 語句的行為描述能力和程序結(jié)構(gòu)決定了他具有支持大規(guī)模設計的分解和已有設計的再利用功能。 設計該乒乓球游戲機的輸入與輸出端口。 在整個程序中,狀態(tài)機起的是中央控制器的作用,由它控制的信號來影響整個程序中的其他相關(guān)部分,如記分部分,發(fā)光二極管部分。count100000。 else state=ballmoveto2。 state=allow1hit。 else count2=count2+1。 記分譯碼器的設計 七段譯碼器是在數(shù)學電路設計中經(jīng)常用到的顯示電路。bcdout2=1111110。bcdout2=1111111。bcdout2=1011111。 這個記分譯馬電路是針對乒乓球游戲機的特點進行的特別設計,采用的是全部列舉的方法。 從構(gòu)造體設計中可以看到,控制整個乒乓球游戲機運轉(zhuǎn)的就是狀態(tài)機進程,它隊各個外圍部分起控制作用。 圖 乒乓球游戲機波形仿真圖二 甲在 state 為狀態(tài) 6 的時候擊球了,在圖上 hit1 在此時刻出現(xiàn)高電平,看到 state 轉(zhuǎn)移到了狀態(tài) 2。在設計過程中。 temp = score1 + score2。 END ENTITY。 END COMPONENT。 BEGIN U1: clk_div PORT MAP(clk = clk,clk_out = clk_temp,sel=speed_sel)。) then score_1 = 0。 use 。 d2 = 1101101。 d2 = 1111110。 when 6= d3 = 0000000。 when 2= d5 = 1101101。 when 2= cat = 111101。 use 。 WITH sel SELECT 選擇輸出時鐘 clk_out = clk_out_2hz when 39。比分清零 elsif(reset=39。) then total_2 = 0。p1/p2贏得該局或該球 ,清楚當前比分 ,當前首發(fā)權(quán)(輸出至發(fā)球權(quán)控制模塊) led_out: OUT STD_LOGIC_VECTOR(7 downto 0))。 led_pos = 0。 elsif(total = 1 OR total = 3 OR total = 5) then CASE sel IS when 39。清除比分信號置為低電平 led_pos = 1。) then檢測到對方擊球 if(led_pos = 7) then 判斷球的位置 state = p2top1。 state = ends。139。 END ARCHITECTURE。 總比分加 1 state = waiting。 else p2win = 39。 when p1top2= if(p2 = 39。 when p1ready= clear = 39。state=p2ready。 p2total = 39。當前局比分 total: IN integer range 0 to 4。) then if(ends=39。139。 end if。 // 程序名稱: // 程序作者:陳 梁 // 最后修改日期: 20xx32 library ieee。 先掃描顯示比分 when 1= cat = 101111。 PROCESS(total1,total2) BEGIN CASE total1 IS when 0= d5 = 1111110。 when 5= d3 = 0000000。 d2 = 1111011。 d2 = 0110000。 END ARCHITECTURE。 OR reset_all = 39。 digit:OUT STD_LOGIC_VECTOR(6 downto 0))。 COMPONENT score_stat IS PORT(p1win,p2win,reset,reset_all: IN STD_LOGIC。 cat: OUT STD_LOGIC_VECTOR(5 downto 0)。 SIGNAL temp:integer range 0 to 21。但卻是我獨立自主的從設計電路到得出結(jié)論。從最后一行 state 值的變化,可以清楚分析狀態(tài)轉(zhuǎn)移。 用七段譯碼器顯示甲的分數(shù) u1:mydecoder port map(count2,score21,score22)。 end process。bcdout2=1011011。bcdout2=1110000。 begin process(binaryin) begin tembinaryin=binaryin。 end if。 then i=2。state=waitserve。 count1=count1+1。039。 狀態(tài)機編程實現(xiàn) 狀態(tài)機設置了 7個狀態(tài),分別是 waitserve, light1on, ballmoveto2, Allow2hit,light8on,ballmoveto1,和 allow1hit 它們代表的具體數(shù)值依次是 0到 6。直接對狀態(tài)機進行描述,所有的狀態(tài)均可表達為 CASE_WHEN 結(jié)構(gòu)中的一條 CASE 語句,而狀態(tài)的轉(zhuǎn)移則通過 IF_THEN_ELSE 語句實現(xiàn)。強大的行為描述能力是避開具體的器件結(jié)構(gòu),從邏輯行為上描述和設計大規(guī)模電子系統(tǒng)的重要保證。設計者可利用 HDL 程序來描述所希望的電路系統(tǒng),規(guī)定器件結(jié)構(gòu)特征和電路的行為方式;然后利用綜合器和適配器將此程序編程能控制 FPGA 和 CPLD 內(nèi)部結(jié)構(gòu),并實現(xiàn)相應邏輯功能的的門級或更底層的結(jié)構(gòu)網(wǎng)表文件或下載文件。 ( 2) 用 8個 (或更多個 )LED排成一條直線,以中點 為界, 兩人乒乓游戲機是用 8個發(fā)光二極管代表乒乓球臺,中間兩個發(fā)光二極管兼做 乒乓球網(wǎng),用點亮的發(fā)光二極管按一定方向移動來表示球的運動,在游戲機的兩側(cè)個設置發(fā)球和擊球開關(guān),甲乙雙方按乒乓球比賽規(guī)則來操作開關(guān)。 實現(xiàn)這種進步的主要原因就是生產(chǎn)制造技術(shù)和電子設計技術(shù)的發(fā)展。 程序通過調(diào)試運行,實現(xiàn)了設計目標 。因此,看 到這次的論文選題,不禁啞然失笑,兩種風牛馬不相及的事物,就這樣在這里糾結(jié)了。 ( 3)為今后分析、設計、開發(fā)以及使用計算機打下堅實的基礎。與 CAD 相比, CAE 除了有純粹的圖形繪制功能外,又增加了電路功能設計和結(jié)構(gòu)設計,并且通過電氣連接網(wǎng)絡表將兩者結(jié)合在一起,實現(xiàn)了工程設計。 VHDL 的程序結(jié)構(gòu)特點是將一項工程設計,或稱設計實體(可以是一個元件,一個電路模塊或一個系統(tǒng))分成外部(或稱可是部分 ,及端口 )和內(nèi)部(或稱不可視部分),既涉及實體的內(nèi)部功能和算法完成部分 [4]。若在“球向乙移動狀態(tài)”乙仍然沒有提前擊球,狀態(tài)就轉(zhuǎn)移到“允許乙擊球狀態(tài)”,在此狀態(tài)下,如果乙擊球了,那么狀態(tài)就轉(zhuǎn)移到“ 球向甲移動狀態(tài)”。 startbutton:int std_logic。139。 when others= i=0。 end if。 state=allow2hit。i=0。 entity mudecoder is port(binaryin:int std_logic_vector(1 to 5)。 when00101=bcdout1=1111110。 when01100=bcdout1=0110000。 when10101=bcdout1=1101101。 )。 圖 編譯時警告信息圖 系統(tǒng)有關(guān) 波形 的 仿真 編譯完成后,進行波形仿真,仿真波形圖如圖 所示 。 這次課程設計總的說來收獲很大。 當前比分 total1,total2: IN integer range 0 to 4。 // 程序名稱: // 程序作者:陳 梁 // 最后修改日期: 20xx32 library ieee。 score1,score2: IN integer range 0 to 11。 END COMPONENT。 得分信號,比分清零,全局復位 score1,score2: OUT integer range 0 to 11)。 elsif(rising_edge(p2win)) then score_2 = score_2 + 1。 ARCHITECTURE main OF score_show IS SIGNAL count:integer range 0 to 5。 when 7= d1 = 0000000。 d4 = 1101101。 d4 = 1111110。 END C
點擊復制文檔內(nèi)容
研究報告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1