freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的乒乓球游戲機的設計與實現(xiàn)(完整版)

2025-08-30 09:02上一頁面

下一頁面
  

【正文】 ,score1 = score1,score2=score2,p1win=p1win,p2win=p2win,p1total=p1total,p2total=p2total,led_out=led,total=total)。 END COMPONENT。 COMPONENT total_stat IS PORT(p1total,p2total,reset,ends,reset_all: IN STD_LOGIC。 score1,score2: IN integer range 0 to 11。 ARCHITECTURE main OF tabletennis IS SIGNAL clk_temp,p1win,p2win,who,first,p1total,p2total,clear,ends: STD_LOGIC。 // 程序名稱: // 程序作者:陳 梁 // 最后修改日期: 20xx32 library ieee。 當前比分和 ends = 39。 當前比分 total1,total2: IN integer range 0 to 4。遇到了書本中不曾學 到的知識,經(jīng)過請教老師和同學,以及查閱相關的資料,最終攻克。 這次課程設計總的說來收獲很大。當?shù)搅藸顟B(tài) 3乙沒有擊球,所以甲得分了, count1 由0 變到 1,而七段譯碼器隨之改變。 圖 編譯時警告信息圖 系統(tǒng)有關 波形 的 仿真 編譯完成后,進行波形仿真,仿真波形圖如圖 所示 。它是整個程序的核心,起到一個中心控制器的作用。 )。 構造體的設計 該構造體緊跟在實體設計之后,這樣就完成了數(shù)字乒乓球游戲機的 VHDL 源程序編寫。 when10101=bcdout1=1101101。 when10001=bcdout1=0110000。 when01100=bcdout1=0110000。 when01001=bcdout1=1111110。 when00101=bcdout1=1111110。 when00001=bcdout1=1111110。 entity mudecoder is port(binaryin:int std_logic_vector(1 to 5)。所謂七段譯碼器,其實是由 7 段發(fā)光二極管組成的用于顯示數(shù)字的器件。i=0。i=0。 state=allow2hit。 else i=i1。 end if。 end if。 when others= i=0。count2=00000。139。乒乓球游戲機中有兩個計數(shù)器 count1 和 count2,分別記憶甲和乙的得分 ,用發(fā)光二極管的輪流發(fā)光表示球的移動軌跡。 startbutton:int std_logic。首先考慮輸入端口,一般應該設置一個異步置位端口 reset,用于在系統(tǒng)不正常時回到初始狀態(tài):兩 個發(fā)球輸入端serve1 和 serve2,邏輯‘ 1’分別表示甲方和乙方的發(fā)球;兩個擊球輸入端 hit1和 hit2,邏輯‘ 1’分別表示甲擊球和乙擊球;一個開始游戲按鈕 startbutton,處于邏輯‘ 1’表示可以游戲;還得有一個時鐘輸入端口 clk。若在“球向乙移動狀態(tài)”乙仍然沒有提前擊球,狀態(tài)就轉(zhuǎn)移到“允許乙擊球狀態(tài)”,在此狀態(tài)下,如果乙擊球了,那么狀態(tài)就轉(zhuǎn)移到“ 球向甲移動狀態(tài)”。符合市場需求的大規(guī)模系統(tǒng)高效,高速的完成必須有多人甚至多個代發(fā)組共同并行工作才能實現(xiàn)。 VHDL 的程序結構特點是將一項工程設計,或稱設計實體(可以是一個元件,一個電路模塊或一個系統(tǒng))分成外部(或稱可是部分 ,及端口 )和內(nèi)部(或稱不可視部分),既涉及實體的內(nèi)部功能和算法完成部分 [4]。 1987 年底, VHDL 被 IEEE 和美國國防部確認為標準硬件描述語言 。與 CAD 相比, CAE 除了有純粹的圖形繪制功能外,又增加了電路功能設計和結構設計,并且通過電氣連接網(wǎng)絡表將兩者結合在一起,實現(xiàn)了工程設計。當球過網(wǎng)后按照設計者規(guī)定的球位乙方就可以擊球。 ( 3)為今后分析、設計、開發(fā)以及使用計算機打下堅實的基礎。其結構簡單、成本低、易操作,安全性強、無污染。因此,看 到這次的論文選題,不禁啞然失笑,兩種風牛馬不相及的事物,就這樣在這里糾結了。 關鍵詞 :乒乓球游戲機; 設計;實現(xiàn); VHDL; MAX+PLUSⅡ; 基于 VHDL 的乒乓球游戲機的設計與實現(xiàn) Design and realization of table tennis game player Abstract: This article introduces the design of the table tennis game player, and carried out simulation procedures, implementation of the provisions of a table tennis game features. Designed to be able to correctly judge the table tennis game table and display the location, and can automatically appear and scoring devices, can be applied to the actual game of Table Tennis Game. Procedure debugging operations, implementation of the design objectives. This thesis describes in detail the function of the system design, implementation and proper use of flow are described in detail to do. System development platform for the MAX + PLUSII, programming language for VHDL. .Keywords: table tennis game player。 程序通過調(diào)試運行,實現(xiàn)了設計目標 。看著白色的乒乓球在屏幕中央跳來跳去,心中的喜悅也在不斷積累。 實現(xiàn)這種進步的主要原因就是生產(chǎn)制造技術和電子設計技術的發(fā)展。 計算機 組成原理 課程設計是重要 的綜合性實踐教學環(huán)節(jié)。 ( 2) 用 8個 (或更多個 )LED排成一條直線,以中點 為界, 兩人乒乓游戲機是用 8個發(fā)光二極管代表乒乓球臺,中間兩個發(fā)光二極管兼做 乒乓球網(wǎng),用點亮的發(fā)光二極管按一定方向移動來表示球的運動,在游戲機的兩側(cè)個設置發(fā)球和擊球開關,甲乙雙方按乒乓球比賽規(guī)則來操作開關。 EDA技術已有 30 年的發(fā)展歷程,大致可分為三個階段。設計者可利用 HDL 程序來描述所希望的電路系統(tǒng),規(guī)定器件結構特征和電路的行為方式;然后利用綜合器和適配器將此程序編程能控制 FPGA 和 CPLD 內(nèi)部結構,并實現(xiàn)相應邏輯功能的的門級或更底層的結構網(wǎng)表文件或下載文件。專家認為, 在新的世紀中, VHDL 于 Verilog 語言將承擔起大部分的數(shù)字系統(tǒng)設計任務。強大的行為描述能力是避開具體的器件結構,從邏輯行為上描述和設計大規(guī)模電子系統(tǒng)的重要保證。這是該程序中起決定作用的七個狀態(tài)。直接對狀態(tài)機進行描述,所有的狀態(tài)均可表達為 CASE_WHEN 結構中的一條 CASE 語句,而狀態(tài)的轉(zhuǎn)移則通過 IF_THEN_ELSE 語句實現(xiàn)。 use 。 狀態(tài)機編程實現(xiàn) 狀態(tài)機設置了 7個狀態(tài),分別是 waitserve, light1on, ballmoveto2, Allow2hit,light8on,ballmoveto1,和 allow1hit 它們代表的具體數(shù)值依次是 0到 6。count2=00000。039。= i=8。 count1=count1+1。 count2=count2+1。state=waitserve。 ount1=count1+1。 then i=2。then i=7。 end if。 use 。 begin process(binaryin) begin tembinaryin=binaryin。bcdout2=1111001。bcdout2=1110000。bcdout2=0110000。bcdout2=1011011。bcdout2=1111011。 end process。 內(nèi)部計數(shù)器,是 5位二進制變量 ponent mydecoder is port(binaryin: in std_logic_vector(1 to 5)。 用七段譯碼器顯示甲的分數(shù) u1:mydecoder port map(count2,score21,score22)。 score11(2)是用來表示甲得分的十位數(shù)字的七段譯碼器的 b 段的,由于在記分譯碼器設計中,十位數(shù)字只會顯示 0, 1 或者 2,無論是這三個數(shù)字中的那一個, b段的發(fā)光二極管都是亮的,所以就相當于接著高電平VCC。從最后一行 state 值的變化,可以清楚分析狀態(tài)轉(zhuǎn)移。 圖 乒乓球游戲機波形仿真圖三 圖 乒乓球游戲機仿真波形圖三中的乒乓球移動狀況 結束語 在課題設計中, 通過 使用 MAX+PLUSⅡ這個完全集成化、易學易用的可編程邏輯設計環(huán)境,利用 VHDL 語言設計的 乒乓球游戲機 ,較成功的完成 了預期的效果 , 能夠正確判斷與顯示乒乓球的位置,并 能自動裁判和記分的裝置 。但卻是我獨立自主的從設計電路到得出結論。 use 。 SIGNAL temp:integer range 0 to 21。 根據(jù)總比分和交換發(fā)球權 who = who_temp。 cat: OUT STD_LOGIC_VECTOR(5 downto 0)。 clk_out:OUT STD_LOGIC)。 COMPONENT score_stat IS PORT(p1win,p2win,reset,reset_all: IN STD_LOGIC。 total1,total2: IN integer range 0 to 4。 digit:OUT STD_LOGIC_VECTOR(6 downto 0))。 use 。 OR reset_all = 39。 OR reset_all = 39。 END ARCHITECTURE。 cat:OUT STD_LOGIC_VECTOR(5 downto 0)。 d2 = 0110000。 d2 = 1011011。 d2 = 1111011。 when 1= d3 = 0000000。 when 5= d3 = 0000000。 when 9= d3 = 0000000。 PROCESS(total1,total2) BEGIN CASE total1 IS when 0= d5 = 1111110。 when 2= d6 = 1101101。 先掃描顯示比分 when 1= cat = 101111。 再掃描顯示總比分 when 5= cat = 111011。 // 程序名稱: // 程序作者:陳 梁 // 最后修改日期: 20xx32 library ieee。 BEGIN PROCESS(clk) VARIABLE count:integer range 0 to 99999。 end if。 use 。139。 elsif(rising_edge(p1total)) then total_1 = total_1 + 1。) then if(ends=39。 total2 = total_2。當前局比分 total: IN integer range 0 to 4。139。 p2total = 39。039。state=p2ready。139。 when p1ready
點擊復制文檔內(nèi)容
研究報告相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1