freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

計算機(jī)組成原理課程設(shè)計報告-基于vhdl的彩燈控制器設(shè)計與實(shí)現(xiàn)(完整版)

2025-01-04 10:06上一頁面

下一頁面
  

【正文】 學(xué) 院 計算機(jī)與通信工程 專 業(yè) 網(wǎng)絡(luò)工程 班 級 網(wǎng)絡(luò)工程 0802 學(xué) 號 ****** 學(xué)生姓名 **** 指導(dǎo)教師 ** 課程成績 完成日期 2020 年 12 月 31 基于 VHDL的彩燈控制器設(shè)計與實(shí)現(xiàn) 第 2 頁 共 22 頁 日 課程設(shè)計任務(wù)書 計算機(jī)與通信工程 學(xué)院 網(wǎng)絡(luò)工程 專業(yè) 課程名稱 計 算機(jī)組成原理課程設(shè)計 時間 2020~ 2020 學(xué)年第一學(xué)期17~ 18 周 學(xué)生姓名 指導(dǎo)老師 題 目 基于 VHDL 的彩燈控制器設(shè)計與實(shí)現(xiàn) 主要內(nèi)容: 隨著科技的發(fā)展 , 在現(xiàn)代生活中 , 彩燈作為一種景觀應(yīng)用越來越多。 應(yīng)當(dāng)提交的文件: 基于 VHDL的彩燈控制器設(shè)計與實(shí)現(xiàn) 第 3 頁 共 22 頁 ( 1)課程設(shè)計報告。電子設(shè)計自動化技術(shù)EDA 的發(fā)展給電子系統(tǒng) 的設(shè)計帶來了革命性的變化, EDA 軟件設(shè)計工具,硬件描述語言,可編程邏輯器件( PLD)使得 EDA 技術(shù)的應(yīng)用走向普及。 LCD。 (4) VHDL 語言標(biāo)準(zhǔn)、規(guī)范、移植性強(qiáng) 。仿真通過,即可下載到指定的 CPLD 芯片里面,并進(jìn)行實(shí)際連線,進(jìn)行最 后的硬件測試。在該電路中只需簡單的修改程序就可以靈活地調(diào)整彩燈圖案和變化方式。整個十六路彩燈控制系統(tǒng)設(shè)計的模塊圖如圖 1 所示。 (4)彩燈控制器 彩燈控制電路是整個設(shè)計的核心 ,它負(fù)責(zé)整個設(shè)計的輸出效果即各種彩燈圖案的樣式變化。 基于 VHDL的彩燈控制器設(shè)計與實(shí)現(xiàn) 第 12 頁 共 22 頁 圖 3 主控制器輸出波形 圖 4 塊符號 模塊的設(shè)計使得程序得以實(shí)現(xiàn),對于程序的理解和對模塊的設(shè)計緊密的聯(lián)系起來利用 EDA 技術(shù)方便快捷的實(shí)現(xiàn)了設(shè)計。它不但能鞏固我們已所學(xué)的電路的理論知識,而且能提高我們的電子電路的設(shè)計水平,還能加強(qiáng)我們綜合分析問題和解決問題的能力,進(jìn)一步培養(yǎng)我們的實(shí)驗技能和動手能力,啟發(fā)我們的創(chuàng)新意識及創(chuàng)新思維。 end entity sxkz。 elsif rising_edge(clk_in)then if chose_key=39。 cllk=not cllk。 end architecture art。 SIGNAL CURRENT_STATE:STATE。 BEGIN IF CLR=39。 CURRENT_STATE=S3。 CURRENT_STATE=S1。 ENTITY CDKZQ IS PORT(CLK_IN:IN STD_LOGIC。 基于 VHDL的彩燈控制器設(shè)計與實(shí)現(xiàn) 第 21 頁 共 22 頁 CLK:OUT STD_LOGIC)。 U2:XSKZ PORT MAP(S1,CLR,LED)。 endprocess。 COMPONENT XSKZ IS PORT(CLK:IN STD_LOGIC。 CHOSE_KEY:IN STD_LOGIC。 END IF。 CURRENT_STATE=S4。 THEN CURRENT_STATE=S0。 BEGIN PROCESS(CLR,CLK)IS CONSTANT F1:STD_LOGIC_VECTOR(15 DOWNTO 0):=0001000100010001。 USE 。139。then if temp=011then temp:=000。 begin process(clk_in,clr,chose_key)is variable temp:std_logic_vector(2 downto 0)。 use 。應(yīng)充分利用 VHDL“自頂 向下”的設(shè)計優(yōu)點(diǎn)以及層次化的設(shè)計概念,層次概念對于設(shè)計復(fù)雜的數(shù)字系統(tǒng)是非常有用的,它使得我們可以從簡 基于 VHDL的彩燈控制器設(shè)計與實(shí)現(xiàn) 第 13 頁 共 22 頁 單的單元入手,逐漸構(gòu)成龐大而復(fù)雜的系統(tǒng) 4 程序下載與實(shí)現(xiàn) 下載 以上的仿真正確無誤,則可以將設(shè)計編程下載到選定的目標(biāo)器件中做進(jìn)一步的硬件測試,以便最終了解設(shè)計的正確性。其中 ,P1 進(jìn)程對燈閃的速度控制有兩種方式可改變燈閃的速度 :一是改變外部時鐘的賦值 ,二是改變信號U 的位數(shù)。實(shí)現(xiàn)了設(shè)計與工藝無關(guān),可移植性好,上市時間快,成本低, ASIC 移植等優(yōu)點(diǎn)。此十六路彩燈控制系統(tǒng)設(shè)定有六種花樣變化 ,這四種花樣可以進(jìn)行自動切換 ,并且每種花樣可以選擇不同的頻率 。 相關(guān)技術(shù)特征 EDA 是電子設(shè)計領(lǐng)域的一場革命 ,它源于計算機(jī)輔助設(shè)計( CAD, Computer Aided Design)、計算機(jī)輔助制造( CAM, Computer Aided Made)、計算機(jī)輔助測試( CAT, Computer Aided Test)和計算機(jī)輔助工程( CAE, Computer Aided Engineering)。 隨著科學(xué)技術(shù)的發(fā)展以及人民生活水平的提高 ,在現(xiàn)代生活中 , 彩燈作為一種裝飾既可以增強(qiáng)人們的感觀 ,起到廣告宣傳的作用 ,又可以增添節(jié)日氣氛 ,為人們的生活增添亮麗。相比傳統(tǒng)的電路系統(tǒng)的設(shè)計方法, VHDL具有多層次描述系統(tǒng)硬件功能的能力,支持自頂向下( Top to Down)和基于庫( LibraryBased)的設(shè)計的特點(diǎn),因此設(shè)計者可以不必了解硬件結(jié)構(gòu)。而 VHDL 語言是EDA 的關(guān)鍵技術(shù)之一,它采用自頂向下的設(shè)計方法,完成系統(tǒng)的整體設(shè)計。 課程設(shè)計成績評定 學(xué) 院 計算機(jī)通信工程 專 業(yè) 網(wǎng)絡(luò)工程 班 級 網(wǎng)絡(luò) 0801 班 學(xué) 號 ********** 學(xué)生姓 名 **** 指導(dǎo)教師 ** 課程成績 完成日期 2020年 12月 31日 指導(dǎo)教
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1