freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda-數(shù)字時(shí)鐘-寧波工程學(xué)院-verilog-pld(完整版)

  

【正文】 t_end = M_3。 839。d21,839。d27,839。 839。d40,839。d46,839。d52: count_end = M_1。d57,839。 839。 839。 839。d82: count_end = M_3。d88: count_end = M_2。d93: count_end = M_6。d99,839。d105,839。d111,839。d117,839。d123,839。 839。 839。d140,839。d147: count_end = M_1。h0000)amp。 //蜂鳴器輸出為鬧鐘輸出 else bsbeep_r=139。reg clk1ms,key,dout1,dout2,dout3。 DELY key=0。reg dout1,dout2,dout3。 endassign key_done = (dout1 | dout2 | dout3)。initial begin clk=0。input clk,reset。ha) begin hour[3:0] = 439。b1。h0。 end if(hour[23:16] == 839。parameter DELY=100。 DELY $finish。reg sec。d3) // begin count1 = 239。parameter DELY=100。 DELY $finish。assign zdbeep=zdbeep_r。zdcount[0])。parameter DELY=100。 DELY $finish。output [8:0]dig,seg。d0:disp_dat = 439。 //顯示 339。ha。d0:dig_r = 839。 //選擇第三個(gè)數(shù)碼管顯示 339。b11011111。d0。hc0。h3:seg_r = 839。 //顯示5 439。h80。hff。initial begin clk=0。input clk,reset。ha) begin jzhour[19:16] = 439。 狀態(tài)燈仿真 仿真代碼`timescale 1ns/1nsmodule modestate_tp。b101。b110。b011。always (key)//判斷鍵值并匹配狀態(tài)begin if(key[3:1]==339。b011。通過(guò)對(duì)課程的實(shí)驗(yàn)的學(xué)習(xí),我對(duì)EDA的學(xué)習(xí)和理解有了更深刻的認(rèn)識(shí)和體會(huì)。更重要的是在此次實(shí)驗(yàn)過(guò)程中,更好的培養(yǎng)了我們的具體實(shí)驗(yàn)的能力。又因?yàn)樵谠趯?shí)驗(yàn)過(guò)程中有許多實(shí)驗(yàn)現(xiàn)象,需要我們仔細(xì)的觀察,并且分析現(xiàn)象的原因。set_location_assignment PIN_28 to clk   set_location_assignment PIN_121 to key[0]set_location_assignment PIN_122 to key[1] set_location_assignment PIN_236 to dig[4]set_location_assignment PIN_237 to dig[5] set_location_assignment PIN_238 to dig[6]set_location_assignment PIN_239 to dig[7] set_location_assignment PIN_161 to dig[3]set_location_assignment PIN_162 to dig[2] set_location_assignment PIN_159 to dig[1]set_location_assignment PIN_160 to dig[0] set_location_assignment PIN_164 to seg[7]set_location_assignment PIN_163 to seg[6] set_location_assignment PIN_166 to seg[5]set_location_assignment PIN_165 to seg[4] set_location_assignment PIN_168 to seg[3]set_location_assignment PIN_167 to seg[2] set_location_assignment PIN_170 to seg[1]set_location_assignment PIN_169 to seg[0]set_location_assignment PIN_54 to modestateled[2]set_location_assignment PIN_53 to modestateled[1]set_location_assignment PIN_50 to modestateled[0]set_location_assignment PIN_123 to key[2]set_location_assignment PIN_124 to key[3]set_location_assignment PIN_143 to key[4]set_location_assignment PIN_141 to key[5]set_instance_assignment name CLOCK_SETTINGS clk to clkset_instance_assignment name CLOCK_SETTINGS count to count[17]set_instance_assignment name CLOCK_SETTINGS sec to secset_instance_assignment name CLOCK_SETTINGS key to dout1set_instance_assignment name CLOCK_SETTINGS key to dout2set_instance_assignment name CLOCK_SETTINGS key to dout3set_instance_assignment name CLOCK_SETTINGS key_done to key_done[0]~36set_location_assignment PIN_175 to bsbeep 實(shí)際調(diào)試① 測(cè)試條件在實(shí)驗(yàn)箱上裝載進(jìn)去,并且連結(jié)必要的線進(jìn)行實(shí)際仿真。b110。endinitial $monitor ($time,key=%b modestateled=%b,key,modestateled)。b011。b110。wire [3:1] modestateled。 jzhour[23:20] = jzhour[23:20] + 139。reg [23:16]jzhour。 DELY reset =1。 時(shí)校時(shí)仿真 代碼 `timescale 1ns/1nsmodule jiaoshi_tp。h9:seg_r = 839。h82。 //顯示3 439。h1:seg_r = 839。b1。d6:dig_r = 839。b11110111。 //選擇第一個(gè)數(shù)碼管顯示 339。d6:disp_dat = 439。h0。 //秒個(gè)位 339。assign dig=dig_r。endmodulemodule shumaxianshi(reset,clk,disp_dato,dig,seg,counto)。shumaxianshi u1(reset,clk,disp_dato,dig,seg,counto)。 數(shù)碼顯示仿真 仿真代碼 `timescale 1ns/1nsmodule shumaxianshi_tp。always(posedge clk)begin if(reset) zdcount=0。endmodulemodule zhengdiandidi(reset,clk,zdbeep,zdcountout)。zhengdiandidi u1(reset,clk,zdbeep,zdcountout)。 //計(jì)數(shù)器清零 sec = ~sec。assign count_out=count1。endmodulemodule make_clock(clk,clock_out,count_out,reset)。make_clock u1(clk,clock_out,count_out,reset)。h0。b1。ha) begin hour[11:8] = 439。 hour[7:4] = hour[7:4] + 139。reg [23:0] hour。DELY reset=1。 計(jì)時(shí)模塊仿真 仿真代碼: `timescale 1ns/1nsmodule jishichuli_tp。assign dout1o=dout1。(DELY*20)。parameter DELY=100。 end//整點(diǎn)滴滴處理部分always(posedge clk)begin zdcount = zdcount + 139。(hour[15:0]=439。h0。d142,839。d136,839。d130: count_end = M_3。d125: count_end = M_2。d119: count_end = M_3。 839。 839。 839。d94,839。d89: count_end = M_1。d83,839。d77,839。d71,839。d65: count_end = M_5。d59,839。d53,839。 839。d42: count_end = M_5。d35,839。 839。d23,839。d17: count_end = M_2。d11,839。d5,839。b1。 //輸出取反 endendalways (posedge clk)begin if(count2 TIME) //一個(gè)節(jié)拍250mS count2 = count2 + 139。d27273, //中音6 H_1 = 1639。reg[23:0]count2。 hour[23:20] = hour[23:20] + 139。//分十位加一 if(hour[15:12] == 439。h0。b1。b101)//是校對(duì)時(shí)間模式嗎? hour=jzhour。 nzhour[15:12] = nzhour[15:12] + 139。h60) jzhour[15:8] = 839。b101) //校時(shí)模式 begin jzhour[11:8] = jzhour[11:8] + 139。ha) begin nzhour[19:16] = 439。b1。hff。hff。h80。 //顯示5 439。h3:seg_r = 839。hc0。 //選擇第六個(gè)數(shù)碼管顯示
點(diǎn)擊復(fù)制文檔內(nèi)容
電大資料相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1