freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda技術(shù)的四位電子密碼鎖-文庫(kù)吧在線文庫(kù)

  

【正文】 器件有 10 萬(wàn)典型門 ,5392 個(gè)寄存器。 硬件描述語(yǔ)言 VHDL 硬件描述語(yǔ)言 HDL 是 EDA 技術(shù)重要組成部分 ,常見的 HDL 有下列幾種 : ( 1) VHDL ( 2) Verilog HDL ( 3) System Verilog ( 4) System C 其中 VHDL、 Verilog HDL 在現(xiàn)在 EDA 設(shè)計(jì)中使用最多,也擁有幾乎所有的主流EDA 工具的支持。 方案三 用 EDA 實(shí)現(xiàn)的電子密碼鎖 這種電子密碼鎖只用一片芯片實(shí)現(xiàn)了幾十片中規(guī)模集成電路才能完成的功能從而大大簡(jiǎn)化了系統(tǒng)結(jié)構(gòu),降低了成本,提高了系統(tǒng)的保 密性和可靠性。 根據(jù)以上選定的輸入設(shè)備和顯示器件,并考慮到實(shí)現(xiàn)各項(xiàng)數(shù)字密碼鎖功能的具體要求,整個(gè)電子密碼鎖系統(tǒng)的總體組成如圖 所示。 ( 4) 激 活電鎖鍵( set) :此鍵有效時(shí),將密碼鎖的門上鎖。 VHDL language。 電子密碼鎖是由密碼鎖主體以及附體的外圍指示電路組成的。 關(guān)鍵詞 :密碼鎖; VHDL 語(yǔ)言; MAX+PLUS II Abstract Because of its safety, low cause, low power consumption, easytouse of their advantage, the electronic code lock is more and more popular among people’ s lives. Using the EDA techniques and MAX+PLUS II software platform, largescale FPGA programmable logic devices can plete the function of the digital code lock design and simulation, the structure is greatly simplified, the costs reduce, by this way can improve the figures of the confidentiality of the password lock and reliability. Electronic code lock is lock by password, as well as additional external main circuit posed of the instructions. Electronic code lock design includes the input of the digital number, storage and removal。用一位輸出電平的狀態(tài)代表鎖的開閉狀態(tài)。 電子密碼鎖的輸入電路有數(shù)字機(jī)械式鍵盤和觸摸式數(shù)字鍵盤等多種。 ( 3)七段數(shù)碼管顯示電路主要將待顯示數(shù)據(jù)的 BCD 碼轉(zhuǎn)換成數(shù)碼器的七段顯示驅(qū)動(dòng)編碼。 4 2 相關(guān)技術(shù)與芯片介紹 EDA 介紹 EDA(Electronic Design Automation)技術(shù)就是依賴功能強(qiáng)大的計(jì)算機(jī) ,在 EDA工具軟件平臺(tái)上 ,對(duì)以硬件描述語(yǔ)言 HDL 為系統(tǒng)邏輯描述手段完成的設(shè)計(jì)文件 ,自動(dòng)地完成 邏輯編譯、邏輯化簡(jiǎn)、邏輯分割、邏輯綜合、結(jié)構(gòu)綜合(布局布線),以及邏輯優(yōu)化和仿真測(cè)試,直至實(shí)現(xiàn)既定的電子線路系統(tǒng)功能。 VHDL 的英文全名是 VHSIC( Very High Speed Integrated Circuit) Hardware Description Language ,于 1983 年由美國(guó)國(guó)防部發(fā)起創(chuàng)建,由 IEEE 進(jìn)一步民展并在 1987 年作為“ IEEE 標(biāo)準(zhǔn) 1076”民布。 ( 4) CPLD 產(chǎn)品概述與舉例 Lattice 是最早推出 PLD 的公司。如圖 是 FLEX 系列芯片引腳圖。利用 MAX+plusⅡ進(jìn)行設(shè)計(jì)的一般流程如圖 所示: 步 驟 2 : 輸 入 設(shè) 計(jì) 項(xiàng)目 原 理 圖 / V H D L 代 碼步 驟 1 : 建 立工 作 庫(kù) 文 件 夾步 驟 3 : 存 盤 , 注 意原 理 圖 / 文 本 取 名步 驟 4 : 將 設(shè) 計(jì) 項(xiàng)目 設(shè) 置 成 P r o j e c t步 驟 5 : 選 擇目 標(biāo) 器 件步 驟 6 : 啟動(dòng) 編 譯步 驟 7 : 建 立 仿真 波 形 文 件步 驟 8 : 仿 真 測(cè)試 和 波 形 分 析步 驟 9 : 引 腳鎖 定 并 編 譯步 驟 1 0 : 編程 下 載 / 配 置步 驟 1 1 : 硬件 測(cè) 試 圖 MAX+plusⅡ一般設(shè)計(jì)流程 7 3 密碼鎖的電路框圖及工作原理 密碼鎖輸入電路的設(shè)計(jì) 電子密碼鎖的輸入電路由時(shí)序產(chǎn)生電路、鍵盤掃描電路、彈跳消除電路、鍵盤譯碼電路、按鍵數(shù)據(jù)緩存器,以及外接一個(gè) 4*4 矩陣式鍵盤組成。如果列線信號(hào)全是高電平,則代表低電按下平信號(hào)所在的行中無(wú)按鍵;如果列線有輸入為低電平,則低電平信號(hào)所在的行和出現(xiàn)低電平信號(hào)的列的交點(diǎn)處有按鍵按下。更短的停留時(shí)間是沒有必要的,因?yàn)槿税存I的時(shí)間大約為 10ms,不可能有更快的按鍵動(dòng)作發(fā)生;另外,更短的時(shí) 間還容易采集到抖動(dòng)信號(hào),會(huì)干擾判斷。特別要注意的是,彈跳消除電路所使用的肪沖信號(hào)的頻率必須比其他電路使用的脈沖信號(hào)的頻率更高。由于這兩個(gè)電路關(guān)系緊密,因此放入同一個(gè)模塊中實(shí)現(xiàn)。其功能圖如圖 所示 圖 鍵盤譯碼電路和按鍵標(biāo)志產(chǎn)生電路功能圖 實(shí)體描述 : Entity 系統(tǒng)輸入信號(hào): Ky[30]:鍵盤輸入信號(hào); Kx[30]: 鍵盤掃描信號(hào),與鍵盤掃描電路中 c_diskey[30]的相連; S R D OUT 0 0 不變 1 0 1 0 1 0 12 Clk:譯碼電路時(shí)鐘信號(hào); 系統(tǒng)輸出信號(hào): Data_n:系統(tǒng)輸出數(shù)字鍵值(也代表該按鍵所在位置); Data_f:系統(tǒng)輸出功能鍵鍵值(也能代表該按鍵所在位置); fn:表示有一個(gè)數(shù)字鍵按鍵被按下,每發(fā)生一次按鍵動(dòng)作, fn 就輸出一個(gè)正脈沖; ff:表明按鍵是否為功能(功能鍵為 set 、 clear 、 lock 和 back 鍵)。 ( 2) 刪除鍵( back):此鍵有效時(shí),數(shù)字右移,并且每按一次,右移一位。 ( 2)共陽(yáng)極 接法: 各發(fā)光二極管的陽(yáng)極連接在一起,接到數(shù)碼管的位控端(公共端),再接 +5V;各發(fā)光二極管的陰極分別連接到數(shù)碼管的段控端( a~ g、 dp), 27( c) 為了顯示數(shù)字或符號(hào),要為 LED 顯示器提供代碼,由于這些代碼是為顯示字形的,因此稱之為字形代碼,具體字形代碼這里不作介紹,請(qǐng)參考有關(guān)資料。 系統(tǒng)輸出信號(hào) : SEL[3..0]:輸出為數(shù)碼管的 位 控信號(hào); LEDS[3..0]:輸出數(shù)碼管的一位顯示,與譯碼模塊的 A[3..0]相接。 555 定時(shí)器的電路原理圖及管腳排列圖分別如圖 和 所示。 當(dāng)υ C下降略小于 Vcc/ 3時(shí),內(nèi)部比較器 A1輸出高電平, A2 輸出低電平,基本 RS 觸發(fā)器置 1,輸出高電平。 D1起保護(hù)繼電器的作用。 圖 +5V電源電路原理圖 19 4 模塊電路仿真 該電子密碼鎖利用 MAX PLUS 工作平臺(tái)進(jìn)行編譯和綜合仿真,將程序下載到FLEX10K 芯片中,同時(shí)在 EDA 試驗(yàn)箱上進(jìn)行硬件驗(yàn)證。kx為 10111101 時(shí), data_f 為 0001,即表示功能鍵 clear 被按下,功能按鍵標(biāo)志ff變?yōu)楦唠娖健.?dāng)data_f 為 1時(shí),表示功能鍵中清除鍵被按下,這時(shí)清除所有的輸入, acc 為 0000。而你開朗的個(gè)性和寬容的態(tài)度,幫助我能夠很快的 掌握了設(shè)計(jì)的技巧。 感恩惜福,閱讀好書,培養(yǎng)幽默,運(yùn)動(dòng)建身,勇于承擔(dān),轉(zhuǎn)型思考,檢討改進(jìn),真誠(chéng)傾聽,積極行動(dòng) 在 論文 即將完成之際,我的心情無(wú)法平靜,從開始進(jìn)入課題到 論文 的順利完成,有多少可敬的師長(zhǎng)、同學(xué)、朋友給了我無(wú)言的幫助,在這里請(qǐng)接受我誠(chéng)摯的謝意! 26 附 錄 附錄 1 程序清單 鍵盤輸入去 抖電路的源程序 LIBRARY IEEE。AND PRN=39。 THEN Q=39。 END。 ARCHITECTURE ART OF DEBOUNCING IS COMPONENT DCFQ IS PORT(CLK,CLRN,PRN,D: IN STD_LOGIC。 INV_D=NOT D_IN。 END IF。 END。 data_n:out std_logic_vector(3 downto 0)。 clk: in std_logic。 29 signal fn,ff:std_logic。 end if。 clk_scan=sel。 begin process(clk) begin z=c_keyboardamp。 when 001110=n=0011。 end case。 lock when 111110 =f=1000。 flag_n=fn。 use 。 end architecture art。 fn=not (n(3) and n(2) and n(1) and n(0))。139。 when 011110=n=0111。139。 u3: debouncing port map (d_in=key_in(2),d_out=c(2), clk=c_debounce)。 clk_ctr=clk。 begin process (clk_1k) is begin if clk_1k39。 signal c_keyboard:std_logic_vector(1 downto 0)。 功能輸出標(biāo)志 clk_ctr:out std_logic。 use 。1=Q1。EVENT AND CLK=39。 SIGNAL Q1,Q0: STD_LOGIC。 ENTITY DEBOUNCING IS 27 PORT(D_IN,CLK: IN STD_LOGIC。139。 ELSIF CLRN=39。 Q: OUT STD_LOGIC)。只是今后大家就難得再聚在一起 ?;?FPGA 的數(shù)字電子密碼鎖鎖,體積小、功耗低、可靠性高,可用于工業(yè)、商業(yè)、家庭等環(huán)境下作為數(shù)字密碼系統(tǒng)的嵌入式芯片,具有一定的實(shí)際意義。從仿真圖可知,達(dá)到了要求,結(jié)果正確。每發(fā)生一次按鍵動(dòng)作, fn 就輸出一個(gè)正脈沖; ff:表明按鍵是否為功能(功能鍵為 set 、 clear 、 lock 和 back 鍵)。我們知道集成穩(wěn)壓電路的輸出主要取決于集成穩(wěn)壓器,由于本電路所采用的集成器為 CW7805 ,所以輸出電壓為 +5V。 振蕩器的作用是產(chǎn)生時(shí)間標(biāo)準(zhǔn)信號(hào),數(shù)字鐘的精度主要取決于時(shí)間標(biāo)準(zhǔn)信號(hào)的頻率及其穩(wěn)定度。此時(shí) Q=O,使內(nèi)部放電管截止。反饋系數(shù)取決 C1 與 C2 的比值, C1還可微調(diào)振蕩頻率。 若需要各位數(shù)碼管顯示出與本位相應(yīng)的顯示字符,就必須采用掃描顯示方式,即在某一時(shí)刻,只讓某一位數(shù)碼管的位選線處于選通狀態(tài),而其它各位數(shù)碼管的位選線處于關(guān)閉狀態(tài),同時(shí),段選線上輸出相應(yīng)位要顯示字符的字型碼。 如圖 所示 : 13 圖 密碼鎖控制電路功能圖 實(shí)體描述 Entity 系統(tǒng)輸入信號(hào) Data_n:數(shù)字輸入信號(hào); Data_f:功能輸入信號(hào); Flag_n:數(shù)字輸入信號(hào)標(biāo)志; Flag_f:功能鍵輸入信號(hào)標(biāo)志; Clk:控制電路時(shí)鐘信號(hào); 密碼鎖顯示電路 顯示電路原理 LED( Light Emiting Diode)顯示器由七個(gè)發(fā)光二極管組成,稱七段 LED 顯示器,排列形狀如圖 ( a)所示。 ● 對(duì)數(shù)字輸入的響應(yīng)控制 如果按下數(shù)字鍵,第一個(gè)數(shù)字會(huì)從顯示器的最右端開始顯示,此后每新按下一個(gè)數(shù)字,顯示器上的數(shù)字必須左移一格,以顯示新輸入的數(shù)字。 Ky[3..0]為鍵盤掃 描信號(hào), kx[3..0]為鍵盤輸入信號(hào), data_n、 data_f 為鍵值(代表按鍵所在位置), ff表示有一個(gè)功能按鍵被按下,每發(fā)生一次按鍵動(dòng)作, ff 就輸出一個(gè)寬度為全局時(shí)鐘的正脈沖。 (2) 對(duì)于不穩(wěn)定的噪聲,在 4 ms 以下則至多抽樣一次。其功能圖如圖 : 圖 時(shí)序 產(chǎn)生電路和鍵盤掃描電路功能圖 實(shí)體
點(diǎn)擊復(fù)制文檔內(nèi)容
黨政相關(guān)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1