freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內容

eda課程設計彩燈控制器(存儲版)

2024-10-25 04:36上一頁面

下一頁面
  

【正文】 師對我設計的指導和同學對我的幫助。z:out std_logic)。139。event and clker=39。139。此外從功能效果上看,亮燈模式少而且樣式單調,缺乏用戶可操作性,影響亮燈效果。課 程 設 計 任 務 書一. 設計題目 : 循環(huán)彩燈控制器電路 二. 主要內容及要求(1)共有紅、綠、黃3色彩燈各9個,要求按一定順序和時間關系運行。查出各芯片引腳排列及功能。根據(jù)此要求電路總體上可以分為三部分:。電路中的555多諧振蕩器的輸出端接4017的脈沖輸入控制,555多諧振蕩器不斷的產(chǎn)生脈沖送入4017芯片的脈沖輸入端,從而使4017芯片的輸出端(01,02,03,04,05,06,07,08,09)依次為高電平,這樣就控制指燈不斷的跑動!第二部分電路:用來實現(xiàn)全部9個一組紅燈,再黃燈,后綠燈,各亮一次。這樣每給觸發(fā)器一個觸發(fā)邊沿其輸出端就翻轉一次。接到高電平的組燈就會發(fā)光。而控制著第一部分的4066模擬開關導通,使第一部分的電路工作3個一組的組燈依次點亮、依次跑動。五 結論與心得通過此次的課程設計,我對電路的設計流程有了一定的了解,對仿真軟件也有一定的認識。實驗這次的課程設計是我更加熟悉芯片的功能跟用法,讓我更好的運用邏 13輯門,使我所學的知識得到鞏固,并且這次的設計培養(yǎng)了我的獨立思考的能力和操作的能力。不過,持續(xù)亮5s的功能中,亮黃跟綠燈時會有三個紅燈也一起亮的(問題解決不了)。于Q端和Q非端翻轉。而第二部分電路工作時第一部分電路就不工作。74LS76N芯片為集成JK觸發(fā)器。二,單元電路設計與參數(shù)計算在整個電路中,其主要的組成部分有:555定時器和4017芯片組成的 :(由于仿真時,設置的時間跟實際理論計算的不符合,)555定時器和4017芯片組成的9個一組持續(xù)亮5s的(由于仿真時,設置的時間跟實際理論計算的不符合,故仿真時時間可能不是5s)4066和74LS76組成的一個循環(huán)電路:(本來是要用hc4060+CD4013(D觸發(fā)器,用它做2分頻電路)+32768Hz的晶振組成秒定時,產(chǎn)生秒脈沖來做循環(huán)電路的,不過由于其中的芯片沒接觸過也比較復雜,所以就沒用此方案,改為用4066和74LS76組成的循環(huán)電路。伴隨著經(jīng)濟的發(fā)展和夜市的興起循環(huán)彩燈的用途將越來越廣,一 方案設計與論證該任務要求:先紅燈,后綠燈,再黃燈,然后,全部紅燈亮5S,再黃燈,后綠燈,各一次。根據(jù)課程設計內容及要求構造整個設計思路,復習數(shù)字電路中觸發(fā)器、譯碼器、計數(shù)器、譯碼顯示器等部分內容。在這一設計中我們將涉及有關彩燈控制器的設計,從原理上使我們對這一設計有所了解。但目前市場上各式樣的LED彩燈控制器大多數(shù)用全硬件電路實現(xiàn),電路結構復雜、功能單一,這樣一旦制作成品只能按照固定的模式閃亮,不能根據(jù)不同場合、不同時間段的需要來調節(jié)亮燈時間、模式、閃爍頻率等動態(tài) 參數(shù)。a6: process(clk,rst)variablecount:integer range 0 to 10。a3:process(clker)variable temp3:integer range 0 to 15。begin ifclker39。y:out integer range 0 to 10。在設計過程中,分頻分的太大,頻率太小的話,揚聲器的聲音體現(xiàn)不出顯示不同花型時的區(qū)別;頻率太大的話,數(shù)碼管顯示速度太快,嘗試分頻時使用不同的脈沖信號,但沒有成功。then temp1:=temp1+1。139。底層分為三個子電路模塊,分別是時序控制電路模塊和顯示電路模塊以及蜂鳴器發(fā)聲模塊。(3)每種花型顯示時對應發(fā)出不用的聲音(4)系統(tǒng)要有整體復位信號 :(1)根據(jù)任務要求確定電路各功能模塊;(2)寫出設計程序;(3)給出時序仿真結果;(4)實現(xiàn)硬件調試; 輸入與輸出說明:rst,clk,clker分別為彩燈控制器的整體復位,時鐘脈沖發(fā)生以及發(fā)聲器分頻時鐘脈沖;:x表示彩燈控制器六種種以上不同的花型,y表示每種花型持續(xù)的時間(10秒鐘),M表示數(shù)碼管顯示的當前的花型序號(分別為A、B、C,D,E,F(xiàn)),Z為每種花型顯示時對應發(fā)出不用的聲音。具有運行速度快,界面統(tǒng)一,功能集中,易學易用等特點。使用期長,不會因工藝變化而使描述過時。VHDL還具有以下優(yōu)點:,將設計人員的工作重心提高到了系統(tǒng)功能的實現(xiàn)與調試,而花較少的精力于物理實現(xiàn)。為了提高開發(fā)的效率,增加已有開發(fā)成果的可繼承性以及縮短開發(fā)周期,各ASIC研制和生產(chǎn)廠家相繼開發(fā)了具有自己特色的電路硬件描述語言(Hardware Description Language,簡稱HDL)。自IEEE公布了VHDL的標準版本,IEEE1076之后,各EDA公司相繼推出了自己的VHDL設計環(huán)境,或宣布自己的設計工具可以和VHDL接口。硬件描述語言HDL是EDA技術的重要組成部分,常見的HDL主要有VHDL、Verilog HDL、ABEL、AHDL、System Verilog和SystemC。利用VHDL語言設計彩燈控制器設計,使其實現(xiàn)彩燈控制器變形,發(fā)聲等功能,突出了其作為硬件描述語言的良好的可讀性、可移植性和易讀性等優(yōu)點。自IEEE公布了VHDL的標準版本,IEEE1076之后,各EDA公司相繼推出了自己的VHDL設計環(huán)境,或宣布自己的設計工具可以和VHDL接口。從高性能的微處理器、數(shù)字信號處理器一直到彩電、音響和電子玩具電路等,EDA技術不單是應用于前期的計算機模擬仿真、產(chǎn)品調試,而且也在P哪的制作、電子設備的研制與生產(chǎn)、電路板的焊接、朋比的制作過程等有重要作用。y=h1)。ponent mux21 port(a,b,s:in std_logic。use 。139。 then yclkk1:= not clkk1。end behav。end fenpin2。end case。use 。use 。beginif clk39。8路彩燈的三種花型控制模塊:整個系統(tǒng)的樞紐,顯示彩燈亮的情況。3.、課程設計的基本要求本次課程設計是設計一個8路彩燈控制器,能夠控制8路彩燈按照兩種節(jié)拍,三種花型循環(huán)變化。event and clk=39。ENTITY counter_4 ISPORT(clk,rst : IN std_logic。139。count_out : OUT integer range 0 to 31)。 then outputelsecase input iswhen 0=outputwhen 1=outputwhen 2=outputwhen 3=outputwhen 4=outputwhen 5=outputwhen 6=outputwhen 7=outputwhen 8=outputwhen 9=outputwhen 10=outputwhen 11=outputwhen 12=outputwhen 13=outputwhen 14=outputwhen 15=outputwhen 16=outputwhen 17=outputwhen 18=outputwhen 19=outputwhen 20=outputwhen 21=outputwhen 22=outputwhen 23=outputwhen 24=outputwhen 25=outputwhen 26=outputwhen 27=outputwhen 28=outputwhen 29=outputwhen 30=outputwhen 31=outputwhen others=null。USE 。ARCHITECTURE a OF xzq4_1 ISBEGINPROCESS(rst,inp)BEGINif(rst=39。end cd。event and clk=39。clk_8end if。p3:process(clk,rst)variable c:integer range 0 to 20。event and clk=39。clk_4end if。ARCHITECTURE cd OF fenpinqi IS begin p1:process(clk,rst)variable a:integer range 0 to 20。在這次設計中我們收獲了很多,首先最直接的收獲就是我們鞏固了這門課程所學過的知識,把它運用到了實踐當中,并且學到了很多在書本撒和那個所沒有學到的知識,通過查閱相關資料進一步加深了對EDA的了。Output:輸出信號 直接接揚聲器即輸出的是不同的頻率來控制揚聲器播放音樂。Count_out[4..0]:輸出信號 即為32進制計數(shù)器的輸出?;谏鲜龅慕榻B本次的彩燈控制采用的模式6來進行顯示。在這次的課程設計里深入的接觸了運用電子集成元器件制作多路彩燈的過程,并和同學一起討論用軟件制作出了這一個多路彩燈控制系統(tǒng)。5各模塊的時序仿真圖:圖三功能:時序控制電路metronome的功能是,用OPT控制輸入信號CKL_IN的快慢節(jié)拍。end ponent timecontrol。clr: in std_logic。then statestate state state state state沈陽理工大學EDA技術課程設計報告ledstatestatestatestatestatestatestatestatestatestatestatenull。沈陽理工大學EDA技術課程設計報告entity showcontrol is port(clk: in std_logic??炻刂菩盘?clkout: out std_logic輸出時鐘信號)。當然 ,可以將各個模塊所生成的元件符號存放在元件庫中 ,用以被其它人或其它的設計所重復調用 ,以簡化后面的設計。這兩個輸出的時鐘信號組合起來就可以為四選一控制器提供 00,01,10,11 四個時間選擇條件 ,如下圖三所示。用VHDL進行設計 ,首先應該了解 ,VHDL語言一種全方位硬件描述語言 ,包括系統(tǒng)行為級 ,寄存?zhèn)鬏敿壓瓦壿嬮T級多個設計層次。2設計要求和任務利用所學的EDA設計方法設計彩燈控制器,熟練使用使用QUARTUSII應用軟件,進一步學習使用VHDL語言、原理圖等EDA設計方法進行綜合題目的方法。最終設計方案為:以一個十六路彩燈花樣控制器、一個四頻率輸出分頻器 ,一個四選一控制器和一個時間選擇器總共四部分來完成設計。該程序充分地說明了用 VHDL設計電路的 “彈” 性 ,即可通過改變程序中輸出變量 Q 的位數(shù)來改變彩燈的數(shù)目。use 。signal counter: std_logic_vector(1 downto 0)。彩燈輸出end showcontrol。end process。八路彩燈輸出 end colorled。led: out std_logic_vector(15 downto 0))。沈陽理工大學EDA技術課程設計報告 顯示控制電路showcontrol的仿真圖如下:圖四功能:顯示控制電路showcontrol的功能是控制花形的。沈陽理工大學EDA技術課程設計報告 參考文獻《EDA技術與數(shù)字系統(tǒng)設計》鄒彥主編電子工業(yè)出版社《EDA技術實用教程》,潘松、黃繼業(yè),科學出版社 《數(shù)字電子技術基礎》(第五版),閻石,高等教育出版社《電子設計自動化(EDA)手冊》,王丹、童如松,電子工業(yè)出版社 《EDA技術程設計》,劉江海,華中科技大學出版社第二篇:eda課程設計彩燈控制器學習EDA開發(fā)軟件和MAX+plus Ⅱ的使用方法,熟悉可編程邏輯器件的使用,通過制作來了解彩燈控制系統(tǒng)。Clk:輸入信號 模塊的功能即為分頻輸入的頻率信號。Input[4..0]:輸入信號 不同的輸入使彩燈控制模塊有不同的輸出即彩燈顯示出不同的花樣。Rst:輸入信號 復位信號 使計數(shù)器的輸出為“00”。6附錄一 程序:分頻器模塊LIBRARY ieee。 thenclk_4復位信號控制部分 else if clk39。end process p1。clk_6elseb:=b+1。 thenclk_8else if clk39。end process p3。clk_10else復位信號控制部分7復位信號控制部分d:=d+1。ENTITY xzq4_1 ISPORT(rst:in std_logic。end case。output : OUT std_logic_vector(7 downto 0)。end process。BEGINIF rst=39。end
點擊復制文檔內容
環(huán)評公示相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1