freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda課程設(shè)計(jì)彩燈控制器-展示頁(yè)

2024-10-25 04:36本頁(yè)面
  

【正文】 clk_8 : OUT std_logic)。USE 。在我們的共同努力和指導(dǎo)老師的指引下我們圓滿(mǎn)的完成了彩燈控制器的設(shè)計(jì),實(shí)現(xiàn)了設(shè)計(jì)目的。在這次設(shè)計(jì)中我們收獲了很多,首先最直接的收獲就是我們鞏固了這門(mén)課程所學(xué)過(guò)的知識(shí),把它運(yùn)用到了實(shí)踐當(dāng)中,并且學(xué)到了很多在書(shū)本撒和那個(gè)所沒(méi)有學(xué)到的知識(shí),通過(guò)查閱相關(guān)資料進(jìn)一步加深了對(duì)EDA的了。最后我們采用上面分析的結(jié)構(gòu)框圖。圖325 4進(jìn)制計(jì)數(shù)器 系統(tǒng)結(jié)構(gòu)整個(gè)系統(tǒng)就是各個(gè)分模塊組成來(lái)實(shí)現(xiàn)最后的彩燈控制功能,系統(tǒng)又兩個(gè)時(shí)鐘來(lái)控制一個(gè)是控制32進(jìn)制計(jì)數(shù)器即控制彩燈控制模塊來(lái)實(shí)現(xiàn)彩燈的不同輸出,另一個(gè)時(shí)鐘為分頻器的輸入來(lái)進(jìn)行分頻處理,最后用來(lái)控制揚(yáng)聲器發(fā)出不同的音樂(lè),具體分頻處理的時(shí)鐘的頻率比實(shí)現(xiàn)彩燈控制的時(shí)鐘頻率要高。Clk:輸入信號(hào) 來(lái)為計(jì)數(shù)器提供工作頻率。Output:輸出信號(hào) 直接接揚(yáng)聲器即輸出的是不同的頻率來(lái)控制揚(yáng)聲器播放音樂(lè)。Inininin4:輸入信號(hào) 接分頻器的輸出。Output[7..0]:輸出信號(hào) 直接與彩燈相連來(lái)控制彩燈。Rst:輸入信號(hào) 使彩燈控制模塊的輸出為“00000000”,即讓彩燈無(wú)輸出。Count_out[4..0]:輸出信號(hào) 即為32進(jìn)制計(jì)數(shù)器的輸出。Rst:輸入信號(hào) 復(fù)位信號(hào) 用來(lái)復(fù)位32進(jìn)制使其輸出為“00000”。Clk_clk_clk_clk_10:輸出信號(hào) 即為分頻模塊對(duì)輸入信號(hào)clk的分頻,分別為1/4分頻輸出、1/6分頻輸出、1/8分頻輸出、1/10分頻輸出。模塊說(shuō)明:Rst:輸入信號(hào) 復(fù)位信號(hào) 用來(lái)復(fù)位集成分頻器的輸出使輸出為“0”,及沒(méi)有音樂(lè)輸出?;谏鲜龅慕榻B本次的彩燈控制采用的模式6來(lái)進(jìn)行顯示。 方案論證這次的彩燈設(shè)計(jì)采用的是分模塊來(lái)完成的,包括分頻器、計(jì)數(shù)器、選擇器、彩燈控制器。1)設(shè)計(jì)一個(gè)彩燈控制器,使彩燈(LED管)能連續(xù)發(fā)出四種以上不同的顯示形式;2)隨著彩燈顯示圖案的變化,發(fā)出不同的音響聲?!睂W(xué)習(xí)任何知識(shí),都要進(jìn)行實(shí)踐,只有那樣才能達(dá)到事半功倍的效果。在這次的課程設(shè)計(jì)里深入的接觸了運(yùn)用電子集成元器件制作多路彩燈的過(guò)程,并和同學(xué)一起討論用軟件制作出了這一個(gè)多路彩燈控制系統(tǒng)。且從圖中可以看出,從圖中可以看出當(dāng)OPT為高電平時(shí)彩燈狀態(tài)轉(zhuǎn)換慢,為低電平時(shí)轉(zhuǎn)換要快,當(dāng)復(fù)位信號(hào)有效時(shí),所用輸出都清零。且從圖中可以看出,當(dāng)復(fù)位信號(hào)有效時(shí)彩燈輸出為零,否則,顯示電路在十六種不同狀態(tài)間轉(zhuǎn)換。且從圖中可以看出,當(dāng)復(fù)位信號(hào)為高電平時(shí),電路時(shí)鐘輸出清零,當(dāng)快慢信號(hào)OPT為低電平時(shí),時(shí)序控制電路四分頻起作用,當(dāng)快慢信號(hào)OPT為高電平時(shí),時(shí)序控制電路八分頻起作用,仿真結(jié)果符合電路要求。5各模塊的時(shí)序仿真圖:圖三功能:時(shí)序控制電路metronome的功能是,用OPT控制輸入信號(hào)CKL_IN的快慢節(jié)拍。begin u1: timecontrol port map(clk=clk,clr=clr,opt=opt,clkout=clk_tmp);例化時(shí)序控制模塊沈陽(yáng)理工大學(xué)EDA技術(shù)課程設(shè)計(jì)報(bào)告u2: showcontrol port map(clk=clk_tmp,clr=clr,led=led)。end ponent showcontrol。clr: in std_logic。end ponent timecontrol。opt:in std_logic。architecture one3 of root is ponent timecontrol is定義元件:時(shí)序控制電路 port(clk: in std_logic。led: out std_logic_vector(15 downto 0))。clr: in std_logic。use 。end architecture one1。end if。then statestate state state state state沈陽(yáng)理工大學(xué)EDA技術(shù)課程設(shè)計(jì)報(bào)告ledstatestatestatestatestatestatestatestatestatestatestatenull。begin process(clk,clr)beginif clr=39。architecture one1 of showcontrol is type states is狀態(tài)機(jī)狀態(tài)列舉(s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15)。復(fù)位信號(hào)led: out std_logic_vector(15 downto 0))。沈陽(yáng)理工大學(xué)EDA技術(shù)課程設(shè)計(jì)報(bào)告entity showcontrol is port(clk: in std_logic。 then清零 clk_tmp程序如下: library ieee。定義計(jì)數(shù)器 begin process(clk,clr,opt)begin 4沈陽(yáng)理工大學(xué)EDA技術(shù)課程設(shè)計(jì)報(bào)告if clr=39。architecture one of timecontrol is signal clk_tmp: std_logic??炻刂菩盘?hào) clkout: out std_logic輸出時(shí)鐘信號(hào))。時(shí)鐘信號(hào) clr: in std_logic。use 。use 。當(dāng)然 ,可以將各個(gè)模塊所生成的元件符號(hào)存放在元件庫(kù)中 ,用以被其它人或其它的設(shè)計(jì)所重復(fù)調(diào)用 ,以簡(jiǎn)化后面的設(shè)計(jì)。最后 ,當(dāng)各個(gè)模塊均完成上述操作之后 ,即可利用MAXPLUS2的原理圖輸沈陽(yáng)理工大學(xué)EDA技術(shù)課程設(shè)計(jì)報(bào)告入 ,調(diào)用各個(gè)元器件(底層文件),以原理圖的形式形成最后的十六路彩燈顯示系統(tǒng)(頂層文件),并且進(jìn)行仿真。其中 ,P1進(jìn)程對(duì)燈閃的速度控制有兩種方式可改變燈閃的速度:一是改變外部時(shí)鐘的賦值 ,二是改變信號(hào)U 的位數(shù)。彩燈控制電路是整個(gè)設(shè)計(jì)的核心 ,它負(fù)責(zé)整個(gè)設(shè)計(jì)的輸出效果即各種彩燈圖案的樣式變化。這兩個(gè)輸出的時(shí)鐘信號(hào)組合起來(lái)就可以為四選一控制器提供 00,01,10,11 四個(gè)時(shí)間選擇條件 ,如下圖三所示。時(shí)間選擇器實(shí)際上是兩個(gè)分頻器 ,其中一個(gè)頻率是另一個(gè)頻率的兩倍。四選一控制器從分頻器選擇不同頻率的時(shí)鐘信號(hào)輸送到彩燈花樣控制器 ,從而達(dá)到控制彩燈閃爍速度的快慢 ,時(shí)間選擇器控制每種速度維持的時(shí)間長(zhǎng)短。首先應(yīng)進(jìn)行系統(tǒng)模塊的劃分 ,規(guī)定每一模塊的功能以及各個(gè)模塊之間的接口。用VHDL進(jìn)行設(shè)計(jì) ,首先應(yīng)該了解 ,VHDL語(yǔ)言一種全方位硬件描述語(yǔ)言 ,包括系統(tǒng)行為級(jí) ,寄存?zhèn)鬏敿?jí)和邏輯門(mén)級(jí)多個(gè)設(shè)計(jì)層次。下面就以一個(gè)十六路彩燈控制系統(tǒng)的實(shí)現(xiàn)為例進(jìn)行簡(jiǎn)單說(shuō)明。功能要求: (至少4種).,在電路中以 1 代表燈亮,以 0 代表燈滅,由 0,1按不同的規(guī)律組合代表不同的燈光圖案,同時(shí)使其選擇不同的頻率,從而實(shí)現(xiàn)多種圖案多種頻率的花樣功能顯示。第一篇:EDA課程設(shè)計(jì)彩燈控制器沈陽(yáng)理工大學(xué)EDA技術(shù)課程設(shè)計(jì)報(bào)告1設(shè)計(jì)目的熟練掌握EDA技術(shù)利用計(jì)算機(jī)方面的課程解決專(zhuān)業(yè)課程方面點(diǎn)具體問(wèn)題,達(dá)到解決問(wèn)題,完成課程設(shè)計(jì)任務(wù),培養(yǎng)實(shí)踐的目的。2設(shè)計(jì)要求和任務(wù)利用所學(xué)的EDA設(shè)計(jì)方法設(shè)計(jì)彩燈控制器,熟練使用使用QUARTUSII應(yīng)用軟件,進(jìn)一步學(xué)習(xí)使用VHDL語(yǔ)言、原理圖等EDA設(shè)計(jì)方法進(jìn)行綜合題目的方法。在該電路中只需簡(jiǎn)單的修改程序就可以靈活地調(diào)整彩燈圖案和變化方式。此十六路彩燈控制系統(tǒng)設(shè)定有六種花樣變化 ,這六種花樣可以進(jìn)行自動(dòng)切換 ,并且每種花樣可以選擇不同的頻率。應(yīng)充分利用DL “自頂向下” 的設(shè)計(jì)優(yōu)點(diǎn)以及層次化的設(shè)計(jì)概層次概念對(duì)于設(shè)計(jì)復(fù)雜的數(shù)字系統(tǒng)是非常沈陽(yáng)理工大學(xué)EDA技術(shù)課程設(shè)計(jì)報(bào)告有用它使得人們可以從簡(jiǎn)單的單元入手 ,逐漸構(gòu)成龐大而復(fù)雜的系統(tǒng)。最終設(shè)計(jì)方案為:以一個(gè)十六路彩燈花樣控制器、一個(gè)四頻率輸出分頻器 ,一個(gè)四選一控制器和一個(gè)時(shí)間選擇器總共四部分來(lái)完成設(shè)計(jì)。整個(gè)十六路彩燈控制系統(tǒng)設(shè)計(jì)的模塊圖如圖 1所示圖一4分層次方案設(shè)計(jì)及代碼描述本次設(shè)計(jì)分為四個(gè)子模塊 ,即十六路彩燈花樣控制器、四頻率輸出分頻器 ,四選一控制器和時(shí)間選擇器 ,其子模塊及其功能如下: 2沈陽(yáng)理工大學(xué)EDA技術(shù)課程設(shè)計(jì)報(bào)告在本次設(shè)計(jì)中 ,設(shè)計(jì)了六種花樣 ,要求這六種花樣以不同的頻率顯示 ,而只有一個(gè)輸入的時(shí)鐘信號(hào) ,所以對(duì)所輸入的時(shí)鐘信號(hào)進(jìn)行 2 分頻 ,4 分頻 ,8分頻 ,16分頻 ,得到四種頻率信號(hào) ,CLKDIV模塊用來(lái)完成此功能。本來(lái)這兩個(gè)分頻器是可以在上述的四頻率輸出器中實(shí)現(xiàn)的 ,但為了方便地為四選一控制器提供不同的時(shí)間選擇條件 ,就將這兩個(gè)分頻器獨(dú)立開(kāi)來(lái)。圖二四選一控制器功能是從分頻器中選擇不同頻率的時(shí)鐘信號(hào)送給彩燈控制器 ,實(shí)現(xiàn)彩燈閃爍的頻率變化。該程序充分地說(shuō)明了用 VHDL設(shè)計(jì)電路的 “彈” 性 ,即可通過(guò)改變程序中輸出變量 Q 的位數(shù)來(lái)改變彩燈的數(shù)目。P2進(jìn)程能進(jìn)行彩燈的圖案控制 ,改變 s的位數(shù)即可改變要控制圖案的數(shù)目 ,改變輸出變量 Q 的組合即可變幻彩燈圖案。仿真通過(guò) ,即可下載到指定的 CPLD芯片里面 ,并進(jìn)行實(shí)際連線(xiàn) ,進(jìn)行最后的硬件測(cè)試。 代碼描述 時(shí)序控制電路部分程序如下: library ieee。use 。entity timecontrol is定義實(shí)體 port(clk: in std_logic。復(fù)位信號(hào) opt: in std_logic。end timecontrol。signal counter: std_logic_vector(1 downto 0)。039。use 。輸入時(shí)鐘信號(hào) clr: in std_logic。彩燈輸出end showcontrol。signal state: states。039。end case。end process。沈陽(yáng)理工大學(xué)EDA技術(shù)課程設(shè)計(jì)報(bào)告程序如下:library ieee。entity root is port(clk: in std_logic。opt:in std_logic。八路彩燈輸出 end colorled。clr: in std_logic。clkout: out std_logic)。ponent showcontrol is定義元件:顯示電路port(clk: in std_logic。led: out std_logic_vector(15 downto 0))。signal clk_tmp: std_logic。例化顯示電路模塊 end architecture one3。而CLR是控制開(kāi)關(guān)。沈陽(yáng)理工大學(xué)EDA技術(shù)課程設(shè)計(jì)報(bào)告 顯示控制電路showcontrol的仿真圖如下:圖四功能:顯示控制電路showcontrol的功能是控制花形的。 頂層電路root系統(tǒng)的仿真圖如下:沈陽(yáng)理工大學(xué)EDA技術(shù)課程設(shè)計(jì)報(bào)告圖五功能:整個(gè)電路root系統(tǒng)是把showcontrol與timecontrol綜合成一個(gè)電路。6總結(jié)通過(guò)這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,通過(guò)這次的課程設(shè)計(jì),發(fā)現(xiàn)自己的實(shí)踐經(jīng)驗(yàn)還是不足的,以后多應(yīng)該以理論為基礎(chǔ),然后應(yīng)用到實(shí)踐中來(lái),從理論中得出結(jié)論,才能提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。正所謂“紙上談兵終覺(jué)淺,覺(jué)知此事要躬行。沈陽(yáng)理工大學(xué)EDA技術(shù)課程設(shè)計(jì)報(bào)告 參考文獻(xiàn)《EDA技術(shù)與數(shù)字系統(tǒng)設(shè)計(jì)》鄒彥主編電子工業(yè)出版社《EDA技術(shù)實(shí)用教程》,潘松、黃繼業(yè),科學(xué)出版社 《數(shù)字電子技術(shù)基礎(chǔ)》(第五版),閻石,高等教育出版社《電子設(shè)計(jì)自動(dòng)化(EDA)手冊(cè)》,王丹、童如松,電子工業(yè)出版社 《EDA技術(shù)程設(shè)計(jì)》,劉江海,華中科技大學(xué)出版社第二篇:eda課程設(shè)計(jì)彩燈控制器學(xué)習(xí)EDA開(kāi)發(fā)軟件和MAX+plus Ⅱ的使用方法,熟悉可編程邏輯器件的使用,通過(guò)制作來(lái)了解彩燈控制系統(tǒng)。3)擴(kuò)充其它功能。其中彩燈控制器是用來(lái)輸出不同的花樣,彩燈控制器的輸出則是用一個(gè)32進(jìn)制的計(jì)數(shù)器來(lái)控制,揚(yáng)聲器的輸出時(shí)用不同的頻率來(lái)控制,所以用了一個(gè)集成分頻器來(lái)使輸入的頻率被分為幾種不同的頻率,不同頻率的選擇性的輸出則是用一個(gè)4選一的選擇器來(lái)控制。圖311 模式6結(jié)構(gòu)圖 模塊設(shè)計(jì)1)集成分頻器模塊設(shè)計(jì)要求顯示不同的彩燈的時(shí)候要伴隨不同的音樂(lè),所以設(shè)計(jì)分頻器來(lái)用不同的頻率控制不同的音樂(lè)輸出。Clk:輸入信號(hào) 模塊的功能即為分頻輸入的頻率信號(hào)。圖321 集成分頻器2)32進(jìn)制計(jì)數(shù)器模塊32進(jìn)制模塊用來(lái)控制彩燈輸出模塊,即確定彩燈控制器的不同的輸出。Clk:輸入信號(hào) 用來(lái)給模塊提供工作頻率。圖322 32進(jìn)制計(jì)數(shù)器3)彩燈控制模塊彩燈控制模塊用來(lái)直接控制彩燈的輸出,使彩燈表現(xiàn)出不同的花樣。Input[4..0]:輸入信號(hào) 不同的輸入使彩燈控制模塊有不同的輸出即彩燈顯示出不同的花樣。圖323 彩燈控制模塊 4)4選1選擇器模塊Rst:輸入信號(hào) 復(fù)位信
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1