【正文】
響出不同的頻率的聲音,總體是距離越小,頻率越高。 ,板級(jí)調(diào)試出現(xiàn)錯(cuò)誤或者與預(yù)想的結(jié)果不同時(shí),可以從引腳到器件進(jìn)行一步步的分析,很可能是引腳映射錯(cuò)誤或者板子自身的問(wèn)題。 (1)主要技術(shù)參數(shù): 使用電壓:DC5V; 靜態(tài)電流:小于2mA; 電平輸出:高5V; 電平輸出:底0V; 感應(yīng)角度:不大于15度; 探測(cè)距離:2cm450cm 7:; 接線方式,VCC、trig(控制端)、echo(接收端)、GND。use 。 TRIG_N,BUZZ_N: out std_logic。architecture Behavioral of system issignal clk_100kHz,clk_1KHz,BUZZ:std_logic。ponent freq_div is port(clk_in_25MHz:in std_logic。ponent MEASURE is PORT(T_12:IN UNSIGNED(11 DOWNTO 0)。 led_sel:out std_logic_vector(3 downto 0))。end ponent。 led_sel_out:out std_logic_vector(3 downto 0))。END ponent。 END COMPONENT。u4:display port map(led_sel_temp,m1,m2,m3,led_dig,led_sel)。end Behavioral。use 。architecture Behavioral of scanner isbeginprocess(clk_in)variable i:integer。)thencase(i) is when 0=led_sel=1110。 when 2=led_sel=1011。end if。USE 。 TRIG:OUT STD_LOGIC )。BEGIN COM:PROCESS(CLK_10U) BEGIN IF CLK_10U39。 ELSE COUNT=COUNT+1。 LUA:PROCESS(COUNT) BEGIN IF COUNT=0 THEN TRIG=39。 END IF。use 。 clk_out_100KHz:out std_logic。signal temp_counter_100KHz:std_logic。139。 end if。process(clk_in_25MHz)variable t1:integer range 0 to 124。)then if(t1124)then t1:=t1+1。 end if。library IEEE。ENTITY display ISport(led_sel_in:in std_logic_vector(3 downto 0)。end display。 when0010=led_dig=11011011。 when0110=led_dig=11111101。 when others=led_dig=11111111。 when0010=led_dig=01011011。 when0110=led_dig=01111101。 when others=led_dig=01111111。 when0010=led_dig=01011011。 when0110=led_dig=01111101。 when others=led_dig=01111111。led_sel_out=led_sel_in。USE 。END ENTITY。 H=N/100。 PLAY_M=CONV_STD_LOGIC_VECTOR(M,4)。USE 。 TIM:OUT UNSIGNED(11 DOWNTO 0))。BEGIN TIMC:PROCESS(ECHO,CLK_10U) BEGIN IF CLK_10U39。139。 END IF 。 THEN TIM=CONV_UNSIGNED(TIM_COU,12)。library IEEE。ENTITY SWITCH1 ISPORT(CLK_100K,SWITCH:IN STD_LOGIC。 ARCHITECTURE ONE OF SWITCH1 ISSIGNAL B,temp_counter, clk_out:STD_LOGIC。 IF T=294 AND T=589 THEN COUNT_SUM=50。 10k ELSE COUNT_SUM=500。beginif(clk_100K39。 else t:=1。 clk_out=temp_counter。 THEN IF CLK_100K39。 ELSE COUNT=0。 END IF。