freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的超聲波測距電路和其擴(kuò)展應(yīng)用eda技術(shù)課程設(shè)計(jì)-全文預(yù)覽

2025-07-13 13:44 上一頁面

下一頁面
  

【正文】 00。i:=1。beginif(clk_in39。entity scanner is port(clk_in:in std_logic。library IEEE。U5:MEASURE port map(T,m1,m2,m3)。beginu1:freq_div port map(clk_in,clk_100KHz,clk_1KHz)。COMPONENT switch1 ISPORT(CLK_100K,SWITCH:IN STD_LOGIC。end ponent。ponent display isport(led_sel_in:in std_logic_vector(3 downto 0)。end ponent。 PLAY_H,PLAY_M,PLAY_L:OUT STD_LOGIC_VECTOR(3 DOWNTO 0) )。 clk_out_100KHz:out std_logic。signal led_sel_temp:std_logic_vector(3 downto 0)。 led_dig : out std_logic_vector(7 downto 0)。library altera。(2)工作原理: 采用IO觸發(fā)測距,給至少10us的高電平信號; 模塊自動(dòng)發(fā)送8個(gè)40khz的方波,自動(dòng)檢測是否有信號返回; 有信號返回,通過IO輸出一高電平,高電平持續(xù)的時(shí)間就是超聲波從發(fā)射到返回的時(shí)library IEEE。 ,比如高觸發(fā)還是低觸發(fā)等。當(dāng)然,實(shí)驗(yàn)最有價(jià)值的東西不止是成功的喜悅,更有在實(shí)驗(yàn)過程中調(diào)試中不斷發(fā)現(xiàn)問題解決問題和處理問題的經(jīng)歷,以及總結(jié)學(xué)習(xí)的工程實(shí)踐的經(jīng)驗(yàn),在此作以總結(jié): ,一定要先在腦?;蛟O(shè)計(jì)本上規(guī)劃好頂層各個(gè)模塊的功能實(shí)現(xiàn)和參數(shù)設(shè)置,也要設(shè)計(jì)好底層某一模塊具體功能的實(shí)現(xiàn)。具體模塊代碼見附錄,最終結(jié)構(gòu)化效果如右。再根據(jù)所屬不同范圍產(chǎn)生不同的分頻功能,分頻結(jié)果輸出到蜂鳴器。首先,對12位寬度的二進(jìn)制進(jìn)行轉(zhuǎn)換,是指變成能進(jìn)行運(yùn)算的整數(shù)類型。顯示模塊里有兩個(gè)功能塊,第一個(gè)是進(jìn)行從BCD碼到數(shù)碼管的軟譯功能(區(qū)分?jǐn)?shù)碼管是共陰極還是共陽極),要注意高位為米位,其小數(shù)點(diǎn)位需要點(diǎn)亮。本模塊以1KHZ位時(shí)鐘信號,產(chǎn)生“1110”,“1101”,“1011”,“0111”,四個(gè)數(shù)碼管選擇信號,完成數(shù)碼管掃描的功能(數(shù)碼管為低選通,當(dāng)使用高選通時(shí)把上面對1和0進(jìn)行取反)。 模塊要求高電平時(shí)開始計(jì)數(shù),為低時(shí)把計(jì)數(shù)結(jié)果導(dǎo)出并持續(xù)到下一個(gè)下降沿的數(shù)據(jù)更新時(shí),并把計(jì)數(shù)信號置零,等待重新計(jì)數(shù)。,所以 綜合起來,N最小為5299。第二個(gè)方面得不到保證會(huì)使人感覺數(shù)據(jù)變化突兀。另外,發(fā)射觸發(fā)后需要等待接收到信號并進(jìn)行處理再發(fā)射下一次觸發(fā),才能保證系統(tǒng)實(shí)時(shí)性。25MHZ為100KHZ的250倍,因而從0到124計(jì)數(shù)。到達(dá)某特定標(biāo)準(zhǔn)區(qū)間就產(chǎn)生一個(gè)特定頻率信號給蜂鳴器,使之發(fā)出特定頻率。;但此次課設(shè)使用FPGA用硬件描述語言來構(gòu)建電路是對FPGA本身功能和各模塊使用學(xué)習(xí)的提升。此功能在單片機(jī)上實(shí)現(xiàn)更為方便,成本也更低。關(guān)鍵字:FPGA ;Quartus II;超聲波測距;倒車?yán)走_(dá);VHDL目錄一、需求分析 3二、功能描述 3三、可行性分析 3 3 3 4四、模塊化建構(gòu) 4 4 5 5 5 6 6 6五、編程下載 6編程 6下載 6六、課設(shè)感悟 7附錄 8一、需求分析:本次課程設(shè)計(jì)要求用PFGA和所學(xué)VHDL知識進(jìn)行設(shè)計(jì)具有某種具體功能的電路系統(tǒng)。過程中,進(jìn)行了需求分析,可行性分析,模塊建構(gòu)和調(diào)試下載等過程,從中學(xué)到很多工程實(shí)踐過程中的知識。 超聲波測距是一般的電路系統(tǒng),在生活中有很多應(yīng)用,比如倒車?yán)走_(dá)和掃地機(jī)器人自動(dòng)避障等。此功能在單片機(jī)上實(shí)現(xiàn)更為方便,成本也更低。 超聲波測距模塊 網(wǎng)上所購得超聲波測距標(biāo)準(zhǔn)模塊HCSR04。 探測距離2cm450cm再開關(guān)選擇打開時(shí),蜂鳴器開始工作,根據(jù)超聲波返回高電平信號記錄周期數(shù),設(shè)定判斷距離標(biāo)準(zhǔn)?!坝?jì)數(shù)分頻”是指計(jì)數(shù)N個(gè)取反一次邏輯信號會(huì)產(chǎn)生周期為2N的頻率信號,達(dá)到分頻目的。已知超聲波模塊完成觸發(fā)需要大于10微秒的高電平,所以需要至少兩個(gè)周期(上升沿觸發(fā))。第一個(gè)方面得不到保證會(huì)影響實(shí)時(shí)性。其中為滿足觸發(fā)條件,所以取值為5。本模塊可以完成對ECHO的高電平持續(xù)時(shí)間計(jì)數(shù)。 時(shí)序圖如下: 由上計(jì)算,在頻率為100KHZ的時(shí)鐘下,最大距離往返可以計(jì)數(shù)2647次,化成二進(jìn)制為:1010_0101_0111,也即最大計(jì)數(shù)為12位寬的二進(jìn)制表示。顯示模塊有兩個(gè)總線輸入,一個(gè)是顯示選通信號(四位),另一個(gè)是數(shù)據(jù)輸入信號(三個(gè)四位信號,對應(yīng)高位,中位,低位)。計(jì)算模塊是完成周期計(jì)數(shù)數(shù)據(jù)到米、分米和厘米的BCD碼轉(zhuǎn)換功能。 設(shè)計(jì)輸入為12位寬度的原始計(jì)數(shù),在此先進(jìn)行整型轉(zhuǎn)換。根據(jù)功能和所需參數(shù)分別設(shè)計(jì)頂層和底層文件,通過原件例化聯(lián)系到一起。最終實(shí)驗(yàn)板能顯示米、分米和厘米位,數(shù)碼管精度為2厘米,實(shí)時(shí)顯示,并且蜂鳴器擴(kuò)展出的“倒車?yán)走_(dá)”功能,能使蜂鳴器在不同距離區(qū)間
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1