freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的語(yǔ)音數(shù)字時(shí)鐘系統(tǒng)-wenkub

2022-11-21 16:01:36 本頁(yè)面
 

【正文】 count_a_end:std_logic。jian pan signal enable_count_k:std_logic。鍵盤(pán)延時(shí)時(shí)間 constant show_alarm_timeout:t_short:=900。 Load_new_a,Load_new_c,Show_new_time,Show_a:out std_logic)。程序包體封裝結(jié)束 library IEEE。 type t_display is array(3 downto 0) of Std_logic_vector(6 downto 0)。 use 。 (4) 設(shè)置新的鬧鐘時(shí)間:用戶(hù)用數(shù)字鍵 0~9 輸入新的鬧鐘時(shí)間,然后按下 ALARM 健確認(rèn)?;?FPGA的語(yǔ)音數(shù)字時(shí)鐘系統(tǒng) 設(shè)計(jì) 1. 設(shè)計(jì)要求: (1) 計(jì)時(shí)功能:這是這個(gè)計(jì)時(shí)器設(shè)計(jì)的基本功能,每隔一分鐘記一次時(shí)間并在屏幕上顯示出當(dāng)前時(shí)間。 (5) 顯示所設(shè)置的鬧鐘時(shí)間:在正常記時(shí)顯示狀態(tài)下,用戶(hù)直接按下ALARM 健,則顯示器上顯示已經(jīng)設(shè)置好的鬧鐘時(shí)間。 package P_alarm is subtype t_digital is integer range 0 to 7。 type seg7 is array(0 to 7) of Std_logic_vector(6 downto 0)。 use 。 end Alarm_controller。alarm jian yan shi 900ns signal curr_state:t_state。jian pan chao shi yun xu signal count_k_end:std_logic。alarm jian chao shi jie shu begin p0:process(clk,reset) begin if reset=39。 end if。039。039。039。139。 elsif(Alarm_botton=39。139。 when s1= if(key=39。139。 Load_new_a=39。)then next_state=s3。139。 Show_new_time=39。139。)then next_state=s2。 null。)then next_state=s3。 null。)then next_state=s4。 null。 end if。 when others= null。039。039。 end if。139。039。 end if。 二.鬧鐘系統(tǒng)譯碼器設(shè)計(jì) :每次按下鬧鐘系統(tǒng)的數(shù)字鍵盤(pán)后產(chǎn)生一個(gè)數(shù)字所對(duì)應(yīng)的10 位二進(jìn)制數(shù)據(jù)信號(hào)轉(zhuǎn)換為 1 位十進(jìn)制整數(shù)信號(hào),作為小時(shí),分鐘計(jì) 數(shù)的 4 個(gè)數(shù)字之一。 ENTITY decoder is port(keypad:in std_logic_vector(9 downto 0)。 end art。 use 。 end key_buffer。)then n_t=(0,0,0,0)。 end if。 四.鬧鐘寄存器的設(shè)計(jì) :鬧鐘寄存器在時(shí)鐘上升沿同步下,根據(jù) Load_new_a 端口的輸入信號(hào)控制 Alarm_time 口的輸出,當(dāng)控制信號(hào)為高電平時(shí),把 New_alarm_time 端口的賦給 alarm_time然后 輸出, reset 端口輸入信號(hào)對(duì) alarm_time端口的輸出進(jìn)行異步清零復(fù)位。 ENTITY Alarm_reg is port(clk,reset:in std_logic。 end Alarm_reg。 else if rising_edge(clk)then if load_new_a=39。039。 end process。 Reset 的優(yōu)先級(jí)高于 load_new_c,且當(dāng) reset,load_new_c 同時(shí)為低電平時(shí),在時(shí)鐘上升沿處,對(duì) current_time端口輸出信號(hào)進(jìn)行累加一次加 1,并根據(jù)小時(shí),分鐘 的進(jìn)位規(guī)律進(jìn)位。 ENTITY Alarm_counter is port(load_new_c:in std_logic。 end Alarm_counter。139。then i_current_time=new_current_time。 else c_t(1):=0。 end if。 end if。 i_current_time=c_t。 end art。反之無(wú)效 Display_driver library IEEE。 current_time:in t_clock_time。 display:out t_display。 begin process(new_time,alarm_time,current_time,show_new_time,show_a) begin sound_loop:for i in alarm_time39。039。139。then display_time=alarm_time。 else assert false report uncertain display_driver control! severity waring。range loop display(i)=seven_seg(display_ti
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1