freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda樂曲播放電路課程設(shè)計(jì)報(bào)告-wenkub

2023-04-07 00:27:04 本頁(yè)面
 

【正文】 時(shí)鐘在notetabs中設(shè)置了一個(gè)8位二進(jìn)制計(jì)數(shù)器(計(jì)數(shù)最大值為138),作為音符數(shù)據(jù)ROM的地址發(fā)生器。計(jì)數(shù)時(shí)鐘信號(hào)作為輸出音符快慢的控制信號(hào),時(shí)鐘快時(shí)輸出節(jié)拍速度就快,演奏的速度也就快,時(shí)鐘慢時(shí)輸出節(jié)拍的速度就慢,演奏的速度自然降低,由于最后的蜂鳴器前需加一個(gè)二分頻的程序,因此計(jì)數(shù)器的時(shí)鐘信號(hào)應(yīng)為4Hz的2倍,即8Hz。數(shù)控分頻器采用12位二進(jìn)制計(jì)數(shù)器,樂曲中的休止符,只要將分頻系數(shù)設(shè)為0,即初始值=4095,此時(shí)揚(yáng)聲器不會(huì)發(fā)聲。若基準(zhǔn)頻率過低,則分頻系數(shù)過小,四舍五入取整后的誤差較大。樂曲都是由一連串的音符組成,因此按照樂曲的樂譜依次輸出這些音符所對(duì)應(yīng)的頻,就可以在揚(yáng)聲器上連續(xù)地發(fā)出各個(gè)音符的音調(diào)。目錄 (模塊圖像,程序,波形圖像,波形分析)2 (RLT電路圖)14 樂曲播放電路:學(xué)習(xí)利用數(shù)控分頻器設(shè)計(jì)硬件樂曲演奏電路,在實(shí)驗(yàn)四的基礎(chǔ)上,改建電路的設(shè)計(jì),增加功能自動(dòng)選擇曲目,可選的歌曲不少于3首。而要準(zhǔn)確地演奏出一首樂曲,僅僅讓揚(yáng)聲器能夠發(fā)生是不夠的,還必須準(zhǔn)確地控制樂曲的節(jié)奏,即樂曲中每個(gè)音符的發(fā)生頻率及其持續(xù)時(shí)間是樂曲能夠連續(xù)演奏的兩個(gè)關(guān)鍵因素。若基準(zhǔn)頻率過高,雖然可以減少頻率的相對(duì)誤差,但分頻結(jié)構(gòu)將變大。根據(jù)分頻系數(shù),可計(jì)算數(shù)控分頻器得到的初始值。(4) 樂譜的發(fā)生本設(shè)計(jì)將樂譜中的音符數(shù)據(jù)存儲(chǔ)在LPMROM中,若某音在邏輯中停留了4個(gè)時(shí)鐘節(jié)拍,即1秒的時(shí)間,相應(yīng)地,該音符就要在LPMROM中連續(xù)的四個(gè)地址上都存儲(chǔ)。這個(gè)計(jì)數(shù)器的計(jì)數(shù)頻率為4HZ,恰為當(dāng)全音符設(shè)為1秒時(shí),四四拍的4分音符持續(xù)的時(shí)間。use 。end。139。 end。 USE 。 INDEX :OUT STD_LOGIC_vector(4 downto 0)。 END choice。 INDEX=Q2。 END CASE。音符的持續(xù)時(shí)間需根據(jù)樂曲的速度及每個(gè)音符的節(jié)拍數(shù)來決定。use 。 tone:out std_logic_vector(10 downto 0))。high=39。high=39。high=39。high=39。high=39。high=39。high=39。high=39。high=39。high=39。high=39。high=39。high=39。high=39。high=39。high=39。high=39。high=39。high=39。high=39。high=39。end process。由其CLK端輸入一具有較高頻率(這里是12HZ)的信號(hào),通過speakera分頻后由spkout輸出,由于直接從數(shù)控分頻器中出來的輸出信號(hào)是脈寬極窄的脈沖式信號(hào),為了有利于驅(qū)動(dòng)揚(yáng)聲器,需另加一個(gè)D觸發(fā)器以均衡其占空比,但這時(shí)的頻率將是原來的一半。(1)模塊圖像(2)程序:library ieee。 tone : in std_logic_vector(10 downto 0)。begin divideclk : process(clk) variable count4 : std_logic_vector (3 downto 0)。139。139。 genspks : process(preclk, tone) variable count11 : std_logic_vector(10 downto 0)。 then if count11 =167ff then count11 := tone 。 fullspks = 39。 end process。139。 then spks =39。 end if。(3)波形圖(4)波形分析由波形圖分析,當(dāng)tone給出不同的分頻預(yù)置數(shù),觀察到分頻效果是正確的,因此該模塊的功能符合設(shè)計(jì)要求。data_radix = dec。03: 3。07: 6。11: 9。15: 5。19: 15。23:12。27:9。31:0。35:10。39:6。43:6。47:9。51:8。55:8。59:5。63:5。67:12。71:9。75:5。79:5。83:3。87:9。91:6。95:6。99:9。103:10。107:9。111:5。115:3。119:8。123:5。127:8。131:5。135:5。end。 DATA_RADIX=DEC。 03:13。 07:12。 11:10。 15:12。 19:15。 23:12。 27:13。 31:13。 35:10。 39:13。 43:12。 47:10。 51: 6。 55:10。 59: 9。 63: 9。 67: 9。 71:10。 75:12。 79:13。 83:10。 87: 9。 91: 8。 95: 8。 99:12。 103:10。 107: 8。 111: 8。 115: 5。 119: 5。 123: 5。 127: 0。131: 0。134: 0。138: 0。 ADDRESS_RADIX=DEC。 02: 12。 06:15。 10:15。 14:15。 18:12。 22:15。 26: 9。 30: 8。 34: 8。 38:15。 42:13。 46:10。 50: 8。 54: 9。 58: 9。 62:12。 66:15。 70:15。 74:15。 78:12。 82
點(diǎn)擊復(fù)制文檔內(nèi)容
試題試卷相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1