freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl三層電梯控制器的設(shè)計(jì)說(shuō)明書(已修改)

2025-05-23 18:41 本頁(yè)面
 

【正文】 1 基于 VHDL的三層電梯控制器的設(shè)計(jì) 姓名: 學(xué)號(hào): 班級(jí): 2 一. 設(shè)計(jì)課題的任務(wù)要求: 簡(jiǎn)易電梯控制器: 模擬真實(shí)電梯的運(yùn)行情況,設(shè)計(jì)制作一個(gè)簡(jiǎn)易電梯控制器控制二層電梯的運(yùn)行。 基本要求 : 電梯設(shè)有一層、二層外部呼叫按鈕和內(nèi)部一層、二層指定按鈕( BTN)。 利用數(shù)碼管顯示電梯所在樓層,用 LED 顯示電梯運(yùn)行狀態(tài)如上行、下行、開門、關(guān)門等。 提高要求 : 點(diǎn)陣顯示樓層; 用點(diǎn)陣顯示樓層的上下滾動(dòng)移出移入表示電梯的上行或下行運(yùn)行方向 增加為三層電梯控制器 二. 系統(tǒng)設(shè)計(jì): 電梯控制器的功能模塊如圖所示,包括主控制 器、分控制器、樓層選擇器、狀態(tài)顯示器、譯碼器和樓層顯示器。乘客 選擇所要到達(dá)的樓層,通過(guò)主控制器的處理 之后 ,電梯開始運(yùn)行,狀態(tài)顯示器顯示 當(dāng)前電梯的上升下降 運(yùn)行狀態(tài) ,電梯所在樓層數(shù)通過(guò)譯碼器譯碼 在數(shù)碼管 上顯示 。分控制器把有 效的請(qǐng)求傳給主控制器進(jìn)行處理,同時(shí)顯示電梯的運(yùn)行狀態(tài)和電梯所在層數(shù)。 電梯控制器原理圖如下: 根據(jù)電梯的實(shí)際工作情況,可以為狀態(tài)機(jī)設(shè)置 八 個(gè)狀態(tài),它們分別是“電梯停在一層” “電梯停在二 層” “電梯停在三 層”“開門”“關(guān)門” “上升”“下降”和“停止”。由于電梯每秒上升或下降一層,則可以用周期為 1s 的信號(hào)來(lái)作為電梯狀態(tài)轉(zhuǎn)換的觸發(fā)時(shí)鐘。 VHDL 描述模塊流程如 下 圖所示: 狀態(tài)顯示器 主控制器 樓層選擇器 分控制器 譯碼器 樓層顯示器 3 元件庫(kù)的說(shuō)明 定義實(shí)體 結(jié)構(gòu)體 端口 狀態(tài)機(jī)進(jìn)程 信號(hào)燈控制進(jìn)程 結(jié)束 按鍵信號(hào)燈 三層電梯控制器的 VHDL 描述模塊流程 4 電梯控制器流程圖: 外部按鍵 請(qǐng)求信號(hào)寄存器 狀態(tài)寄存器 內(nèi)部軟件執(zhí)行機(jī)構(gòu) 外部硬件執(zhí)行機(jī)構(gòu) 圖 總流程圖 初始化 判定電梯運(yùn)行方向 是否有請(qǐng)求? 等待 電梯運(yùn)行 樓層檢測(cè) 否 電梯停止 目標(biāo)層與本層是否同層? 是 是否目標(biāo)層? 開門 延時(shí) 關(guān)門 是否停止運(yùn)行? 是 否 是 否 是 否 停止 電梯控制主流程圖 5 三. 仿真波形及波形分析: 設(shè)定仿真時(shí)間長(zhǎng)度為 60s, liftclk 信號(hào)為周期 1s 的時(shí)鐘信號(hào) ,buttonclk 信號(hào)為周期 的時(shí)鐘信號(hào)。 doorlight 信號(hào)‘ 1’表示開門,‘ 0’表示關(guān)門。 udsig 信號(hào) ‘ 1’表示電梯處在上升模式,‘ 0’表示處在下降模式。 fuplight,fdnlight,stoplight 是三位二進(jìn)制向量,波形圖中的 1 代表“ 001”,表示一層有請(qǐng)求, 2 代表“ 010”,表示二層有請(qǐng)求, 4 代表“ 100”,表示三層有請(qǐng)求。 圖 所示的波形是在一層有上升請(qǐng)求的仿真波形,在 reset 信號(hào)產(chǎn)生一個(gè)脈沖時(shí),電梯回復(fù)初始狀態(tài),即 stopon1 狀態(tài),然后等待 ,關(guān)門檢測(cè)沒有請(qǐng)求信號(hào), 電梯停在一層。當(dāng)電梯時(shí)鐘上升沿檢測(cè)到一層上升請(qǐng)求信號(hào) fuplight(1)為‘ 1’時(shí),電梯開門, fuplight(1)清零,等待 4s,關(guān)門檢測(cè)到二層停站請(qǐng)求, 電梯上升到二層停止,開門 stoplight(2)清零, position信號(hào)由 1 變?yōu)?2,電梯最終停在二層。 圖 有上升請(qǐng)求的仿真波形 圖 所示 的波形是三層有下降請(qǐng)求的波形,當(dāng)電梯在一層關(guān)門后,檢測(cè)到 fdnlight為“ 100”,則上升到三層,開門等待 4s,關(guān)門檢測(cè)到 stoplight 為‘ 1’, 電梯下降到一層,最終停在一層。 6 圖 有下降請(qǐng)求的仿真波形 圖 所示的波形是二層和三層都有下降請(qǐng)求的仿真波形,當(dāng)電梯在一層關(guān)門后,檢測(cè)到fdnlight 為“ 110”,則直接上升到三層,開門后 fdnlight(3)清零,等待 4s 后,關(guān)門下降到二層停止,開門后 fdnlight(2)和 stoplight(2)清零,再 下降到一層。 圖 有多個(gè)下降請(qǐng)求的仿真波形 圖 ,電梯在一層關(guān)門后,檢測(cè)到 stoplight為“ 110”,則上升至二層停止開門, stoplight(2)清零,等待 4s 后關(guān)門,繼續(xù)上升至三層,開門后 stoplight(3)清零,乘客下站后電梯最終停在三層。 7 圖 有多個(gè)停站請(qǐng)求的仿真波形 圖 所示,當(dāng)只有二層有 下降請(qǐng)求時(shí),電梯上升至二層,然后下降 。 圖 只有二層有下降請(qǐng)求時(shí)的仿真波形 圖 所示,二層同時(shí)有上升和下降請(qǐng)求 ,電梯上升至二層,門打開等待 4s,關(guān)門后檢測(cè)到stoplight(3)和 fdnlight(2)都為‘ 1’,它會(huì)先上升至三層,再下降至二層,待有下降請(qǐng)求的乘客上電梯后,最后下降至一層。 8 同時(shí)有上升和下降請(qǐng)求的仿真波形 從仿真波形來(lái)看,電梯的的運(yùn)行情況符合它的運(yùn)行規(guī)則,電梯的位置變化合理。 四. 源程序: 電梯主控程序源代碼: LIBRARY IEEE。 USE 。 USE 。 ENTITY elevator IS PORT( cCLK: IN STD_LOGIC。 reset: IN STD_LOGIC。 Button : IN STD_LOGIC_VECTOR(3 DOWNTO 0)。 button(0)為一層外上升請(qǐng)求, button(1)為二層外上升請(qǐng)求, button(2)為二樓 外下降請(qǐng)求, Button(3)為三層外下降請(qǐng)求; floor : IN STD_LOGIC_VECTOR(2 DOWNTO 0)。 floor(0)為電梯內(nèi)一層請(qǐng)求按鈕, floor(1)為電梯內(nèi)二層請(qǐng)求按鈕, floor(2)為電梯內(nèi)三層請(qǐng)求按鈕; position : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)。 position 表示電梯當(dāng)前位置信息 。 led :OUT STD_LOGIC_VECTOR(7 DOWNTO 0)。 door : OUT STD_LOGIC。 39。139。為開門, 39。039。為關(guān)門; up_down : OUT STD_LOGIC 39。139。為上樓, 39。039。為下樓; )。 END elevator。 ARCHITECTURE Behav OF elevator IS TYPE State IS (S0,S1U,S1D,S2)。 S1U 為二樓上狀態(tài), S1D 為二樓下狀態(tài); 9 SIGNAL current_state : State。 中間變量:當(dāng)前狀態(tài) SIGNAL next_state : State。 中間變量:下一狀態(tài) SIGNAL up : STD_LOGIC。 中間變量:上升下降狀態(tài) SIGNAL dor : STD_LOGIC。 中間變量 :開門關(guān)門狀態(tài) SIGNAL butclk :STD_LOGIC。 SIGNAL clk :STD_LOGIC。 signal q : std_logic_vector(23 downto 0)。 begin process(cclk) begin if rising_edge(cclk) then q=q+1。 end if。 butclk=q(1)。 clk=q(23)。 end process。 P1:PROCESS(CLK) 狀態(tài)轉(zhuǎn)換進(jìn)程; BEGIN IF CLK39。EVENT AND CLK=39。139。 THEN 檢測(cè)時(shí)鐘上升沿 current_state = next_state。 END IF。 END PROCESS。 P2:PROCESS(reset,clk,butclk,current_state,up,button,floor) VARIABLE button_var: STD_LOGIC_VECTOR( 3 DOWNTO 0)。 VARIABLE floor_var : STD_LOGIC_VECTOR( 2 DOWNTO 0)。 VARIABLE cat : STD_LOGIC。 VARIABLE ca_time : STD_LOGIC_VECTOR(10 DOWNTO 0)。 BEGIN IF reset = 39。139。 THEN 復(fù)位處理,初始狀態(tài)為一層 next_state = S0。 position = 0001。 led = 01100000。 cat:=39。039。 ca_time:=00000000000。 up=39。039。 dor=39。039。 button_var( 3 DOWNTO 0):=0000。 floor_var(2 DOWNTO 0):=000。 ELSIF butclk39。event and butclk=39。139。 THEN 若無(wú)復(fù)位信號(hào),則將外部按鈕信號(hào)存儲(chǔ)到 7 個(gè)變量之中; IF button(0) =39。139。 THEN button_var(0):=39。139。 ELSE NULL。 END IF。 IF button(1) =39。139。 THEN button_var(1):=39。139。 ELSE NULL。 END IF。 IF button(2) =39。139。 THEN button_var(2):=39。139。 ELSE NULL。 END IF。 10 IF button(3) =39。139。 THEN button_var(3):=39。139。 ELSE NULL。 END IF。 IF floor(0)=39。139。 THEN floor_var(0):=39。139。 ELSE NULL。 END IF。 IF floor(1)=39。139。 THEN floor_var(1):=39。139。 ELSE NULL。 END IF。 IF floor(2)=39。139。 THEN floor_var(2):=39。139。 ELSE NULL。 END IF。 IF cat=39。039。 THEN ca_time:=ca_time+1。 END IF。 開門,關(guān)門計(jì)時(shí); CASE current_state IS WHEN S0 = 一樓狀態(tài)處理 。 position = 0001。 led = 01100000。 cat:=39。039。 IF (button_var(0) or floor_var(0))=39。139。 THEN CASE ca_time IS WHEN 00110000000 = dor=39。139。 WHEN 11111111111 = dor=39。039。 button_var(0):=39。039。 floor_var(0):=39。039。 IF (floor_var(1) or floor_var(2))=39。139。 THEN next_state=S1U。 ca_time:=00000000000。 up=39
點(diǎn)擊復(fù)制文檔內(nèi)容
試題試卷相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
公安備案圖鄂ICP備17016276號(hào)-1