freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于sopc交通燈的eda課程設(shè)計(jì)-在線(xiàn)瀏覽

2024-08-07 18:31本頁(yè)面
  

【正文】 HHHHLHHHHHHLHHHHLHHHHHHLHHHHHHHHHHHL 從表中可以看出:每輸入一種組合,輸出端只有一端響應(yīng),這樣可以通過(guò)輸入端的組合,選中想要選中的數(shù)碼管,讓其工作。 蜂鳴器模塊 當(dāng)輸入信號(hào)的電平為高電平1時(shí),蜂鳴器響起,當(dāng)輸入電平為低電平0時(shí),蜂鳴器不響。 東西方向模塊 東西方向包含紅黃綠燈控制模塊和計(jì)時(shí)模塊。用到的是實(shí)驗(yàn)系統(tǒng)中第一、二、三個(gè)燈,即LEDLEDLED3,分別表示紅、黃、綠三種顏色的燈??梢燥@示數(shù)字09,東西方向用的是第一、二個(gè)數(shù)碼管,計(jì)時(shí)時(shí)間為紅燈15s,黃燈5s,綠燈15s。其單個(gè)靜態(tài)數(shù)碼管如下圖41所示。反之則不亮。工作原理都相同,八個(gè)數(shù)碼管的a、b、c、d、e、f、g、h、dp都連在了一起,共8根段選引腳,8個(gè)數(shù)碼管分別由各自的位選信號(hào)來(lái)控制,被選通的數(shù)碼管顯示數(shù)據(jù),其余關(guān)閉,共8根位選引腳,總共16根引腳。 南北方向模塊 南北方向包含紅黃綠燈控制模塊和計(jì)時(shí)模塊。用到的是實(shí)驗(yàn)系統(tǒng)中第一、二、三個(gè)燈,即LEDLED1LED12,分別表示紅、黃、綠三種顏色的燈。 計(jì)時(shí)模塊 計(jì)時(shí)模塊與東西方向相同,只是南北方向的計(jì)時(shí)用到的是實(shí)驗(yàn)系統(tǒng)中的第8個(gè)數(shù)碼管,工作原理與東西方向的完全相同。 點(diǎn)陣模塊本設(shè)計(jì)主要是完成漢字在LED上的顯示,16*16掃描LED點(diǎn)陣的工作原理與8位掃描數(shù)碼管類(lèi)似,也是用到了人眼的視覺(jué)暫態(tài)效應(yīng),只是顯示的方式與結(jié)果不一樣。單個(gè)的LED的電路如下圖131所示: 圖131 單個(gè)LED電路圖由上圖可知,對(duì)于單個(gè)LED的電路圖當(dāng)Rn輸入一個(gè)高電平,同時(shí)Cn輸入一個(gè)低電平時(shí),電路形成一個(gè)回路,LED發(fā)光。16*16點(diǎn)陣也就是由16行和16列的LED組成,其中每一行的所有16個(gè)LED的Rn端并聯(lián)在一起,每一列的所有16個(gè)LED的Cn端并聯(lián)在一起。具體的電路如下圖132所示: 圖132 16*16點(diǎn)陣電路原理圖因此,在點(diǎn)陣上顯示一個(gè)字,就是要讓這個(gè)字在點(diǎn)陣上所覆蓋區(qū)域的LED燈點(diǎn)亮,而其他區(qū)域的LED燈被熄滅,例如,在點(diǎn)陣上顯示中文“漢”字的顯示圖如下圖133所示: 圖133 字符在點(diǎn)陣上的顯示在上圖中,只要將被“漢”字所覆蓋的區(qū)域的點(diǎn)點(diǎn)亮,則在點(diǎn)陣中就會(huì)顯示一個(gè)“漢”字。當(dāng)我們選中第一列后,根據(jù)要顯示漢字的第一列中所需要被點(diǎn)亮的點(diǎn)對(duì)應(yīng)的Rn置為高電平,則在第一列中需要被點(diǎn)亮的點(diǎn)就會(huì)被點(diǎn)亮。然后根據(jù)人眼的視覺(jué)原理,將每一列顯示的點(diǎn)的間隔時(shí)間設(shè)為一定的值,那么我們就會(huì)感覺(jué)顯示一個(gè)完整的不閃爍的漢字。然后選取下一列來(lái)顯示下一列的數(shù)據(jù)。這樣只要第一次顯示第一列的數(shù)據(jù)和第二次顯示第一列的數(shù)據(jù)的時(shí)間足夠短,那么人的眼睛就會(huì)看到第一列的數(shù)據(jù)總是顯示的,而沒(méi)有停頓現(xiàn)象。在實(shí)際的運(yùn)用當(dāng)中,一個(gè)漢字是由多個(gè)八位的數(shù)據(jù)來(lái)構(gòu)成的,那么要顯示多個(gè)漢字的時(shí)候, 這些數(shù)據(jù)可以根據(jù)一定的規(guī)則存放到存儲(chǔ)器中,當(dāng)要顯示這個(gè)漢字的時(shí)候只要將存儲(chǔ)器中對(duì)應(yīng)的數(shù)據(jù)取出顯示即可。緊急情況過(guò)后蜂鳴器停止報(bào)警,系統(tǒng)恢復(fù)正常。時(shí)鐘與控制器的連接圖如下圖所示:圖32 數(shù)字時(shí)鐘信號(hào)模塊電3 引腳分配 本模塊用到的模塊與實(shí)驗(yàn)箱的引腳連接如下表所示:模塊名稱(chēng)信號(hào)名稱(chēng)FPGA I/O管腳號(hào)功能說(shuō)明時(shí)鐘模塊CLKPin_A14時(shí)鐘信號(hào)緊急情況模塊S1Pin_AF5按鍵0東西方向控制模塊LED1Pin_AE8東西方向紅燈LED2Pin_J22東西方向黃燈LED3Pin_M24東西方向綠燈南北方向控制模塊LED10Pin_F22南北方向紅燈LED11Pin_E22南北方向黃燈LED12Pin_F21南北方向綠燈數(shù)碼管顯示模塊LEDAG0Pin_G16數(shù)碼管A段LEDAG1Pin_G17數(shù)碼管B段LEDAG2Pin_F18數(shù)碼管C段LEDAG3Pin_G18數(shù)碼管D段LEDAG4Pin_G15數(shù)碼管E段LEDAG5Pin_G14數(shù)碼管F段LEDAG6Pin_G12數(shù)碼管G段LEDAG7Pin_M21數(shù)碼管DP段DEL0Pin_C2238譯碼器第一個(gè)輸入端DEL1Pin_D2238譯碼器第二個(gè)輸入端DEL2Pin_G938譯碼器第三個(gè)輸入端點(diǎn)陣顯示模塊DOT_R[0]Pin_C17點(diǎn)陣第一行DOT_R[1]Pin_D15點(diǎn)陣第二行DOT_R[2]Pin_D14點(diǎn)陣第三行DOT_R[3]Pin_D13點(diǎn)陣第四行DOT_R[4]Pin_D12點(diǎn)陣第五行DOT_R[5]Pin_D10點(diǎn)陣第六行DOT_R[6]Pin_C10點(diǎn)陣第七行DOT_R[7]Pin_C9點(diǎn)陣第八行DOT_R[8]Pin_D21點(diǎn)陣第九行DOT_R[9]Pin_C21點(diǎn)陣第十行DOT_R[10]Pin_D20點(diǎn)陣第十一行DOT_R[11]Pin_D19點(diǎn)陣第十二行DOT_R[12]Pin_C19點(diǎn)陣第十三行DOT_R[13]Pin_D18點(diǎn)陣第十四行DOT_R[14]Pin_C18點(diǎn)陣第十五行DOT_R[15]Pin_D17點(diǎn)陣第十六行DOT_C0Pin_L5416轉(zhuǎn)換第一個(gè)輸入端DOT_C1Pin_H6416轉(zhuǎn)換第二個(gè)輸入端DOT_C2Pin_H7416轉(zhuǎn)換第三個(gè)輸入端DOT_C3Pin_H5416轉(zhuǎn)換第四個(gè)輸入端總結(jié)通過(guò)本次設(shè)計(jì),初步了解了quartusII軟件的基本操作。在設(shè)計(jì)過(guò)程中,遇到了許多的問(wèn)題,通過(guò)與同學(xué)和老師的交流,以及自己在圖書(shū)館查找資料,最終完成了本設(shè)計(jì)。在接下來(lái)的時(shí)間里,會(huì)在這方面有所突破,完善本設(shè)計(jì)。 庫(kù)文件use 。use 。 時(shí)鐘信號(hào) del : buffer std_logic_vector(2 downto 0)。 數(shù)碼管段選 key : in std_logic。 東西方向紅燈 east_west_led_yellow : out std_logic。 東西方向綠燈 south_north_led_red : out std_logic。 南北方向黃燈 south_north_led_green : out std_logic。 點(diǎn)陣的行 lie : out std_logic_vector(3 downto 0) 416轉(zhuǎn)換輸入 )。 architecture behave of traffic is signal count_nanbei : integer range 0 to 45。 signal num_nanbei : integer range 0 to 25。 signal e_w_shiwei : integer range 0 to 9。 signal s_n_shiwei : integer range 0 to 9。 signal dis : std_logic_vector(7 downto 0)。 signal clk_count : std_logic_vector(13 downto 0)。 signal cdount : std_logic_vector(3 downto 0)
點(diǎn)擊復(fù)制文檔內(nèi)容
醫(yī)療健康相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1