freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內容

基于fpga的數(shù)字cmos攝像機圖像采集-在線瀏覽

2024-07-29 14:29本頁面
  

【正文】 饋給CMD命令解析模塊cmdack信號為1,并最終反饋到系統(tǒng)控制接口模塊的CMDACK信號為1,如果沒有收到任何操作指令,則cmdack=0,CMDACK信號為0。OE為1時,數(shù)據(jù)可由DQ腳寫入SDRAM,OE為0時,數(shù)據(jù)可從SDRAM的DQ腳讀出。代碼示例如附件3. SDRAM控制器頂層模塊實際上在大型工程開發(fā)過程中很少用到圖形編輯工具,因為連接線較多不易連接,容易顯得雜亂,可讀性和可移植性都不強。附件4是SDRAM控制器頂層模塊代碼示例,通過這種調用方式將其他子模塊融合在一個統(tǒng)一的大工程下。use 。entity control_interface isgeneric(ASIZE:integer:=32)。 RESET_N :in std_logic。 ADDR :in std_logic_vector(ASIZE1 downto 0)。 CM_ACK :in std_logic。 READA :out std_logic。 REFRESH :out std_logic。 SADDR :out std_logic_vector(ASIZE1 downto 0)。 SC_RC :out std_logic_vector(1 downto 0)。 SC_PM :out std_logic。 REF_REQ :out std_logic。 )。architecture RTL of control_interface is signal declarations signal LOAD_REG1 : std_logic。 signal REF_PER : std_logic_vector(15 downto 0)。 signal timer_zero : std_logic。 signal CMD_ACK_int : std_logic。begin This module decodes the mands from the CMD input to individual mand lines,NOP,READA,WRITEA,REFRESH,PRECHARGE,LOAD_MODE。039。039。039。039。039。039。039。039。039。039。elseif rising_edge(CLK)then SAADR_int=ADDR。00039。139。039。 if(CMD=39。)then READA=39。 else READA=39。 end if。01039。139。039。 if(CMD=39。)then REFRESH=39。 else REFRESH=39。 end if。10039。139。039。 if(CMD=39。)then LOAD_MODE=39。 else LOAD_MODE=39。 end if。11039。039。139。039。 if((CMD=39。)and(LOAD_REG2=39。))then LOAD_REG2=39。 else LOAD_REG2=39。 end if。end process。 附件2:library ieee。use 。 DSIZE :integer:=32。 COLSIZE :integer:=9。 ROWSTART :integer:=9。 BANKSTART :integer:=20。port( CLK :in std_logic。 SADDR :in std_logic_vector(ASIZE1 downto 0)。 READA :in std_logic。 REFRESH :in std_logic。 LOAD_MODE :in std_logic。 SC_RC :in std_logic_vector(1 downto 0)。 SC_PM :in std_logic。 REF_REQ :in std_logic。 CM_ACK :out std_logic。 SA :out std_logic_vector(11 downto 0)。 CS_N :out std_logic_vector(1 downto 0)。 RAS_N :out std_logic。 WE_N :out std_logic。end mand。 signal do_reada : std_logic。 signal do_writea1 : std_logic。 signal do_precharge : std_logic。 signal mand_done : std_logic。 signal rw_shift : std_logic_vector(3 downto 0)。 signal rw_flag : std_logic。 signal oe_shift : std_logic_vector(7 downto 0)。 signal oe2 : std_logic。 signal oe4 : std_logic。 signal rp_done : std_logic。 signal coladdr : std_logic_vector(COLSIZE1 downto 0)。 signal REF_REQ_int : std_logic。 coladdr =SADDR(COLSTART+COLSIZE1 downto COLSTART)。 This process monitors the individual mand lines and issues a mand to the next stage if there currently another mand already running. process(CLK,RESET_N) begin if(RESET_N=39。)then do_nop =39。 do_reada =39。 do_writea =39。 do_refresh =39。 do_precharge =39。 do_load_mode =39。 mand_done =39。 mand_delay =(others=39。)。039。039。 rp_done =39。 do_writea1 =39。elseif rising_edge(CLK)then if((REF_REQ =39。 or REFRESH =39。)and mand_done =39。 and do_refresh =39。 and rp_done =39。 and do_reada =39。and do_writea =39。)then do_refresh =39。 else do_refresh =39。 end if。139。039。039。039。039。139。039。 if((WRITEA =39。) and (mand_done =39。)and (do_writea =39。) and (rp_done =39。) and (REF_REQ =39。))then do_writea =39。 do_writea1 =39。 else do_writea =39。 do_writea1 =39。 end if。139。039。039。039。139。039。 if((LODE_MODE =39。) and (mand_done =39。) and (do_lode_mode =39。))then do_lode_mode =39。 else do_lode_mode =39。 end if。139。139。139。139。139。1111111139。139。 else mand_done = mand_delay(0)。 mand_delay(7) = 39。 end if。039。139。111139。139。 rp_shift(2 downto 0) = rp_shift(3 downto 1)。039。 end if。 process(CLK,RESET_N)begin if(RESET_N = 39。)then oe_shift = (others = 39。)。039。039。039。039。039。039。139。000139。039。 elsif(SC_BL = 39。)then oe_shift = 39。 elsif(SC_BL = 39。)then oe_shift = 39。 elsif(SC_BL = 39。)then oe_shift = 39。 end if。139。 oe_shift(7) = 39。 oe1 = oe_shift(0)。 oe3 = oe2。 if(SC_RC = 10)then
點擊復制文檔內容
環(huán)評公示相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1