freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda技術(shù)課程設(shè)計-數(shù)字式競賽搶答器-文庫吧資料

2024-11-16 07:55本頁面
  

【正文】 WHEN 0110=DOUT7=1011111。 3 WHEN 0100=DOUT7=0110011。 1 WHEN 0010=DOUT7=1101101。 ARCHITECTURE ART OF YMQ IS BEGIN PROCESS(AIN4) BEGIN CASE AIN4 IS WHEN 0000=DOUT7=1111110。 DOUT7: OUT STD_LOGIC_VECTOR(6 DOWNTO 0))。 USE 。 ( 四 )譯碼模塊 VHDL 源程序 LIBRARY IEEE。 end body_chooser。 end case。 when 10=y=c。 case count is when 00=y=a。 end if。)then if(count=10)then count=00。event and clk2=39。)then count=00。 process(clk2,rst) begin if(rst=39。 architecture body_chooser of sjxz is signal count: std_logic_vector (1 downto 0)。 y: out std_logic_vector(3 downto 0) )。 clk2,rst: in std_logic。 use 。 use 。其中有搶答時鐘信號clk2;系統(tǒng)復位信號 rst;搶答使能信號 s;搶答狀態(tài)顯示信號 states;無人搶答警報信號 warn;計時中止信號 stop;計時十位和個位信號 tb, ta。 end one。 end if。 end if。 then if tb=0000 then tb=0011。 then if s=39。event and co=39。 then tb=0010。 or stop=39。 p2:process(co,rst,s,stop,tb) begin if rst=39。 end if。 end if。139。 then if ta=0000 then ta=1001。 if s=39。 then co=39。event and clk=39。 then ta=0000。 or stop=39。 begin p1:process(clk,rst,s,stop,ta) begin if rst=39。 end js。 warn:out std_logic。 use 。 (二)計時模塊 VHDL 源程序 library ieee。 搶答鑒別模塊圖 搶答鑒別模塊用來準確直觀地判斷 A、 B、 C、 D、 E、 F 六 組搶答者誰最先按下按鈕 ,并為顯示端送出信號,通過數(shù)顯和蜂鳴等途徑使觀眾能夠清楚地知道是哪一組搶答成功,是整個系統(tǒng)的核心部分。 end process p2。 elsif (st=1000) then states=01
點擊復制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1