【正文】
state=r0g1。 sign_state = 01。139。139。139。139。 裝入計(jì)數(shù)初值并啟動(dòng)倒計(jì)時(shí) else if (clk39。 設(shè)定當(dāng)前為橫向紅燈亮,豎向綠燈亮 sign_state=“01”。139。 signal light: std_logic_vector(5 downto 0)。 architecture BEHAVIOR of traffic_FSM is type Sreg0_type is (r0g1, r0y1, g0r1, y0r1, y0y1, y0g1, g0y1, r0r1)。 yellow: out std_logic_vector(1 downto 0))。 red: out std_logic_vector(1 downto 0)。 recount: out std_logic。 a_m:in std_logic。 USE 。 USE 。 end BEHAVIOR。 when t_ff=1 else 39。 next_state = 39。 end case。 when 29= seg7=0101101101111011。 when 27= seg7=0101101100000111。 when 25= seg7=0101101101101101。 when 23= seg7=0101101101001111。 when 21= seg7=0101101100000110。 when 19= seg7=0000011001111011。 when 17= seg7=0000011000000111。 when 15= seg7=0000011001101101。 when 13= seg7=0000011001001111。 when 11= seg7=0000011000000110。 when 9= seg7=0011111101111011。 when 7= seg7=0011111100000111。 when 5= seg7=0011111101101101。 when 3= seg7=0011111101001111。 when 1=seg7=0011111100000110。 end process。 end if。1? then t_ff=load1。139。 elsif (clk_1Hz39。) then t_ff=00000000。 begin process(clk_1Hz,reset) begin if (reset=39。 end。 seg7:out std_logic_vector(15 downto 0)。 recount:in std_logic。 use 。 use 。 (三)倒計(jì)時(shí)控制電路( count_down) Clk_1Hz reset