freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl數(shù)字電子時鐘的設(shè)計說明書(參考版)

2024-11-21 21:38本頁面
  

【正文】 。 作者為多人時,一般只列出前 3名作者,不同作者姓名間用逗號相隔。常用參考文獻編寫項目和順序應(yīng)按文中引用先后次序規(guī)定如下: 19 著作圖書文獻 序號 └─┘ 作者.書名(版次).出版地:出版者,出版年 :引用部分起止頁 第一版應(yīng)省略 翻譯圖書文獻 序號 └─┘ 作者.書名(版次).譯者.出版地 : 出版者,出版年 :引用部分起止頁 第一版應(yīng)省略 學(xué)術(shù)刊物文獻 序號 └─┘ 作者.文章名.學(xué)術(shù)刊物名.年,卷(期):引用部分起止頁 學(xué)術(shù)會議文獻 序號 └─┘ 作者.文章名.編者名.會議名稱,會議地址,年份.出版地,出版者, 出版年 :引用部分起止頁 學(xué)位論文類參考文獻 序號 └─┘ 研究生名.學(xué)位論文題目.出版地.學(xué)校(或研究單位)及學(xué)位論文級別.答 辯年份 :引用部分起止頁 西文文獻中第一個詞和每個實詞的第一個字母大寫,余者小寫;俄文文獻名第一個詞和專有名詞的第一個字母大寫,余者小寫;日文文獻中的漢 字須用日文漢字,不得用中文漢字、簡化漢字代替。 不得將引用文獻標(biāo)示置于各級標(biāo)題處。 經(jīng)濟、管理類論文引用文獻,若引用的是原話,要加引號,一般寫在段中;若引的不是原文只是原意,文前只需用冒號或逗號,而不用引號。所引文獻編號用阿拉伯?dāng)?shù)字置于方括號“ [ ]”中,如“二次銑削 [1]”。 表 1 理工類論文層次代號及說明 層次名稱 示 例 說 明 章 第 1章 □□??□ 章序及章名居中排,章序用阿拉伯?dāng)?shù)字 節(jié) □□??□ 題序頂格書寫,與標(biāo)題間空 1字,下面闡述內(nèi)容另起一段 條 □□??□ 款 □□???□ □□??□□□□?? 題序頂格書寫,與標(biāo)題間空 1 字,下面闡述內(nèi)容在標(biāo)題后空 1字接排 項 (1)□□?□ □□?□□?□□□□□□?? 題序空 2字書寫,以下內(nèi)容接排,有標(biāo)題者,闡述內(nèi)容在標(biāo)題后空 1字 ↑ ↑ 版心左邊線 版心右邊線 表 2 文管類論文層次代號及說明 章 節(jié) 條 款 項 一、□□□□□ (一)□□□□ 1.□□□□ □□□□□□□□□□□□□□□□□□□□□□□□□□□□□□ ( 1)□□□□ □□□□□□□□□□□□□□□□□□□□□ □□□□□□□□□□□ ①□□□□□ 居中書寫 空 2字書寫 空 2字書寫 空 2字書寫 空 2字書寫 ↑ ↑ 版心左邊線 版心右邊線 各層次題序及標(biāo)題不得置于頁面的最后一行(孤行)。 18 層次 層次以少為宜,根據(jù)實際需要選擇。字?jǐn)?shù)一般在 15字以內(nèi),不得使用標(biāo)點符號。) 論文正文 章節(jié)及各章標(biāo)題 論文正文分章、節(jié)撰 寫,每章應(yīng)另起一頁。 正文章、節(jié)題目(理工類要求編寫到第 3級標(biāo)題,即□ .□ .□。中、外文摘要應(yīng)各占一頁,編排裝訂時放置正文前,并且中文在前,外文在后。摘要、目錄等文前部分的頁碼用羅馬數(shù)字單獨編排,正文以后的頁碼用阿拉伯?dāng)?shù)字 編排 。 頁碼。頁眉的文字用五號宋體,頁眉文字下面為 2條橫線(兩條橫線的長度與版芯尺寸相同,線粗 ) 。頁眉應(yīng)居中置于頁面上部。論文正文滿頁為 29行,每行 33個字,字號為小四號宋體,每頁版面字?jǐn)?shù)為957個,行間距為固定值 20磅。 論文書寫 本科生畢業(yè)論文用 B5 紙 計算機排版、編輯與雙面打印 輸出 。 外語類 論文正文字?jǐn)?shù) 8 000- 10 000個外文單詞。 文管類 論文正文字?jǐn)?shù) 12 000- 20 000字。 附錄 如 開題報告 、文獻綜述、外文譯文及外文文獻復(fù)印件、公式的推導(dǎo)、程序流程圖、圖紙、數(shù)據(jù)表格等有些不宜放在正文中,但有參考價值的內(nèi)容可編入論文的附錄中。 16 致謝 對導(dǎo)師和給予指導(dǎo)或協(xié)助完成論文工作的組織和個人表示感謝。但對于工程設(shè)計類論文,各種標(biāo)準(zhǔn)、規(guī)范和手冊可作為參考文獻。 在論文正文中必須有參考文獻的編號,參考文獻的序號應(yīng)按在正文中出現(xiàn)的順序排列。論文中要注重引用近期發(fā)表的與論文工作直接有關(guān)的學(xué)術(shù)期刊類文獻。 結(jié)論是對整個論文主要成果的歸納,要突出設(shè)計(論文)的創(chuàng)新點,以簡練的文字對論文的主要工作進行評價,一般為 400~ 1 000字。 論文主體各章后應(yīng)有一節(jié)“本章小結(jié)”。 論文主體 論文主體是論文的主要部分,要求結(jié)構(gòu)合理,層次清楚,重點突出,文字簡練、通順。緒論只是文章的開頭,不必寫章號 。緒論應(yīng)說明選題的背景、目的和意義,國內(nèi)外文獻綜述以及論文所要研究的主要內(nèi)容。 15 論文正文 論文正文包括緒論、論文主體及結(jié)論等部分。 關(guān)鍵詞 關(guān)鍵詞是供檢索用的主題詞條,應(yīng)采用能覆蓋論文 主要內(nèi)容的通用技術(shù)詞條(參照相應(yīng)的技術(shù)術(shù)語標(biāo)準(zhǔn)),一般列 3~ 5個,按詞條的外延層次從大到小排列,應(yīng)在摘要中出現(xiàn)。 摘要應(yīng)扼要敘述論文的研究目的、研究方法、研究內(nèi)容和主要結(jié)果或結(jié)論,文字要精煉,具有一定的獨立性和完整性,摘要一般應(yīng)在 300字左右。不應(yīng)超過 25字,原則上不得使用標(biāo)點符號,不設(shè)副標(biāo)題。 論文結(jié)構(gòu)及要求 論文包括題目、中文摘要、外文摘要、目錄、正文、參考文獻、致謝和附錄等幾部分。畢業(yè)論文撰寫是本科生培養(yǎng)過程中的基本訓(xùn)練環(huán)節(jié)之一,應(yīng)符合國家及各專業(yè)部門制定的有關(guān)標(biāo)準(zhǔn),符合漢語語法規(guī)范。 14 大學(xué)本科生畢業(yè)設(shè)計 (論文) 撰寫規(guī)范 本科生畢業(yè)設(shè)計(論文)是學(xué)生在畢業(yè)前提交的一份具有一定研究價值和實用價值的學(xué)術(shù)資料。 end process。139。 end if。 else count:=count+1。039。139。 then if clk39。 begin if d = 39。 end entity。 d: in std_logic。 use 。 library ieee。 end process。139。 end if。 else count:=count+1。039。139。 then if clk39。 begin if d = 39。 end entity。 d: in std_logic。 use 。 library ieee。 end process。139。 end if。 else count:=count+1。039。139。 then if clk39。 begin if d = 39。 end entity。 d: in std_logic。 use 。 時分秒模塊 library ieee。 end process。 ssec=secs。 end if。 elsif secg1001 then secg:=secg+1。co=39。 elsif secg=1001 then secs:=secs+1。co=39。event) then if secg=1001 and secs=0101 then secg:=0000。139。039。secs:=0000。039。 begin rclk=add xnor clk。 architecture art of count is signal co,rclk: std_logic。 c: out std_logic)。 entity count is port (cl_r,clk,add: in std_logic。 end architecture fenp。 end if。 else countms:=0。039。 countms:=countms+1。 then if(countms25000) then clkms=39。event and clk=39。 process(clk) variable countms:integer range 0 to 50000。 end if。 else counts:=0。039。 counts:=counts+1。 then if(counts25000000) then clks=39。event and clk=39。 architecture fenp of fps is begin process(clk) variable counts:integer range 0 to 50000001。 clks: out std_logic)。 entity fps is port(clk: in std_logic。 use 。 end architecture。 beep=bep。139。 end if。 and clk39。 begin process(bep,clk,hh1,hh2,mm1,mm2,h1,h2,m1,m2) begin if (h1=hh1 and h2=hh2 and mm1=m1 and mm2=m2 ) OR (mm1=0000 and mm2=0000) then if clk=39。 28 end entity。 hh1,hh2,mm1,mm2,h1,h2,m1,m2: in std_logic_vector(3 downto 0)。 use 。 蜂鳴器模塊 library ieee。 end process。 end case。 when1001=du=10010000。 when0111=du=11111000。 when0101=du=10010010。 when0011=du=10110000。 when0001=du=11111001。 end case。 when1001=du=00010000。 when0111=du=01111000。 when0101=du=00010010。 when0011=du=00110000。 when0001=du=01111001。 end process。wei=111111。wei=011111。wei=101111。wei=110111。wei=111011。wei=111101。wei=111110。 architecture art of sel is signal data: std_logic_vector(3 downto 0)。 du: out std_logic_vector(7 downto 0))。 sel:in std_logic_vector(2 downto 0)。 use 。 library ieee。 end process。 ot=out2。 ot=out1。139。 end entity。 wei: out std_logic_vector(5 downto 0)。 out1,out2: in std_logic_vector(7 downto 0)。 use 。 數(shù)碼管位選和段選 library ieee。 end process。 end if。 else bep=39。event then bep=not bep。139。 architecture art of beep is signal bep : std_logic。 beep: out std_logic)。 entity beep is port( clk: in std_logic。 use 。也感謝身邊幫助我的每一個同學(xué)。在此,對梁老師表示衷心的感謝。通過此次實踐,我們從中可以找出自己知識的不足與欠缺,以便我們在日后的
點擊復(fù)制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1