freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda課程設(shè)計電子密碼鎖(參考版)

2024-08-16 00:28本頁面
  

【正文】 end。after 70ms。after 60ms,39。after 50ms,39。after 40ms,39。after 30ms,39。after 20ms,39。after 10ms,39。,39。kaisuo1=39。039。139。139。039。139。039。139。039。beginU1:kongzhi port map(dout1=dout1,q=q,p=p,shangsuo=shangsuo1,kaisuo=kaisuo1)。q,p:out std_logic)。ponent kongzhi isport(dout1:in std_logic_vector(2 downto 0)。architecture A of kongzhi_tb issignal dout1 :std_logic_vector(2 downto 0)。use 。end。end if。p=39。elsif dout1= not111and enable=01thenq=39。p=39。process(dout1,shangsuo,kaisuo)開鎖成功指示燈和蜂鳴器的控制beginif dout1=111and enable=01 then q=39。beginenable=shangsuoamp。end。shangsuo,kaisuo:in std_logic。use 。 end。039。139。039。139。after 30us。after 20us,39。after 10us,39。,39。 shangsuo1=39。 dout0=000,001after 5us,010after 10us,011after 15us,100after 20us,101after 25us,110after 30us,111after 35us。 end ponent。 din1:in std_logic_vector(2 downto 0)。 Led1:out std_logic。 Signal led1:std_logic。 signal dout1:std_logic_vector(2 downto 0)。 architecture A of kaisuo_tb is signal dout0:std_logic_vector(2 downto 0)。use 。開鎖狀態(tài)指示燈 end。 when enable=01 else 39。 led1=39。 end process。elsenull。 else temp=000。kaisuo。 signal enable:std_logic_vector(1 downto 0)。 end。 led1: out std_logic。 shangsuo,kaisuo: in std_logic。use 。end。after 70ms。after 60ms,39。after 50ms,39。after 40ms,39。after 30ms,39。after 20ms,39。after 10ms,39。,39。kaisuo1=39。039。139。139。039。139。039。139。039。end process。139。wait for 10ms。processbeginclk=39。end ponent。led1,led2:out std_logic。kaisuo:in std_logic。ponent shangsuo isport(din0:in std_logic_vector(2 downto 0)。architecture A OF shangsuo_tb issignal din1,dout1:std_logic_vector(2 downto 0)。use 。上鎖成功指示燈end。 when temp= 000 else39。上鎖狀態(tài)指示燈led2=39。 when enable=10 else39。led1=39。end process。elsenull。 thenif enable=10 thentemp=din0。event and clk=39。kaisuo。signal enable:std_logic_vector(1 downto 0)。end。led1,led2:out std_logic。entity shangsuo isport(din0:in std_logic_vector(2 downto 0)。EDA技術(shù)與VHDL基礎(chǔ)[M].北京:清華大學(xué)出版社,2013年3月雷伏容,李俊,[M].清華大學(xué)出版社,2012年5月七.附錄:上鎖:RTL:library ieee。 這次課設(shè)打開了我設(shè)計的大門,也是自己一個學(xué)期以來所學(xué)知識的全面應(yīng)用。而在數(shù)據(jù)比較模塊,最開始他們提議的狀態(tài)機個人當(dāng)時也覺得很合理的,結(jié)果嘗試了也失敗了。雖然這只是一次課設(shè),但無論課設(shè)還是科研還是編程,合作或者咨詢或者討論是度過難關(guān)的不二法門,也許自己煞費苦心也過不去的檻,別人一句話你就過去了,這是一種高端的過程,也是很難享受到的過程,特別是在他們的幫助下邏輯推理在心海中如汪洋大海一般狂掃過時,原來世間的一切都是可以這樣的去實現(xiàn)。 在與同學(xué)的討論中,得到了不同的指點,獲得了很多靈感,這正是這一次課設(shè)最大的收獲。本部分的具體電路板功能已經(jīng)向老師展示過,確定
點擊復(fù)制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1