freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

數(shù)字電路低功耗設(shè)計(jì)(二)(參考版)

2025-07-03 02:24本頁面
  

【正文】 。hier,產(chǎn)生的報(bào)告如下所示:如果要報(bào)告設(shè)計(jì)中每個(gè)模塊和單元的功耗,在report_power命令后加選項(xiàng) hier,例如: Dynamic Power= mW(66%)    Net   (6)功耗分析報(bào)告我們是通過分析功耗報(bào)告(report_power命令產(chǎn)生)來查看設(shè)計(jì)功耗的,一個(gè)功耗報(bào)告的示例部分內(nèi)容如下所示:    Cell               翻轉(zhuǎn)率通過零延遲仿真?zhèn)鬏斚氯ィ@樣就可以計(jì)算出設(shè)計(jì)的功耗。一個(gè)完整的SAIF文件,user annotated”應(yīng)該是100%。開關(guān)行為可以被清除,使用“reset_switching_activity”命令可以清除所有被標(biāo)記的翻轉(zhuǎn)率和通過傳輸?shù)玫降姆D(zhuǎn)率。用set_switching_activity命令設(shè)置的開關(guān)行為優(yōu)先級次之?!             ?.   2. 因此流程為:    VCS產(chǎn)生VCD文件——》power piler 將VCD文件轉(zhuǎn)換為SAIF文件——》power piler 進(jìn)行分析功耗最后,我們來說一下這里使用vcd2saif程序的好處,主要有下面三點(diǎn):  1. 如下圖所示:First()nt,簡稱FIFO把數(shù)據(jù)傳給vcd2saif程序,然后產(chǎn)生SAIF文件。如果設(shè)計(jì)很大,仿真的時(shí)間長,vcd2saif程序可以用管道傳遞的方式把VCD轉(zhuǎn)化為SAIF文件。vcd2saif是在UNIX命令行使用的一個(gè)程序。在Power Compiler中,可以使用程序vcd2saif可以把VCD文件轉(zhuǎn)化為SAIF文件,如下圖所示: endmodule然后使用下面命令進(jìn)行仿真:    vcs $dumpfile()。$sdf_annotate(,dut)initial begininitial①VCD文件的產(chǎn)生首先,我們在進(jìn)行仿真的時(shí)候,需要通過在testbench中加入相關(guān)的系統(tǒng)函數(shù),產(chǎn)生相應(yīng)的VCD文件(和SDF文件),流程示意圖如下所示:  (5)VCD轉(zhuǎn)SAIF分析法前介紹了使用SAIF文件分析功耗的方法,這個(gè)方法都是通過VCS仿真得到相應(yīng)的SAIF文件,然后進(jìn)行功耗分析。如果是前版圖( prelayout)的設(shè)計(jì),沒有寄生參數(shù)文件,連線的RC參數(shù)使用工藝庫里的線負(fù)載模型。tb/top    report_power上面的流程和腳本適用于后版圖(postlayout)的設(shè)計(jì),spef文件在做完版圖后產(chǎn)生。mygate. saif top    link    read_read_parasitics link_library * $target_library    read_verilog target_library             對應(yīng)的一個(gè)示例腳本文件如下所示:    set ③功耗分析  有了門級網(wǎng)表、gate backward SAIF文件和SDF文件,就可以在power 用這個(gè)信息可以進(jìn)行精確的功耗分析。VCS所產(chǎn)生的Gate Backward SAIF文件中包含了一些或所有連線的開關(guān)行為和單元的開關(guān)行為。如果設(shè)計(jì)很大,仿真需要的時(shí)間很長。)COND DEFAULT (TC 0)(IG 0))(TC ( IOPATH COND((D1*!DO)}(!D1,DO)))(IOPATH S (TC 22 )(IG 0)(COND((D1 * !DO)|(! D1*D0)) (RISE)(TO 4989) (T1 5005) (TX 6)(Y(PORT)(INSTANCE U3 ) (TC 26)(IG 0)(T0 6488) (T1 3493) (TX 18)(z\32\)(TC 26) (IG 0)(T0 6488) (T1 3493) (TX 18)(z\3\(NET)(INSTANCE tb(INSTANCE top1 Mon May 17 02:33:48 2006)(VENDOR Synopsys,Inc)(PROGRAM_NAME 產(chǎn)生的示例gate forward SAIF文件的部分內(nèi)容如下所示:(SAIFILE(SAIFVERSION 2 .0)(DIRECTION $ toggle_report(gate. saif,1. 0e9, top)命令把SAIF輸出到指定的文件。$ set_toggle_region (u1)命令選擇要監(jiān)視的模塊。$ read_lib_saif (mylib. saif)命令讀取庫SAIF文件中的SDPD信息。$togglereport(,top)endendmodule//testbenchtestbench測試平臺主要是調(diào)用門級網(wǎng)表、SDF文件、庫SAIF文件。in_ a=temp_in_a。a=0。$toggle_start。initial$sdf_annotate(,dut)initial begin$read_lib_saif ()。testbench的示例內(nèi)容如下所示:module testbench?!               纳蠄D中我們可以看到,產(chǎn)生gate backward SAIF需要testbench測試平臺、門級網(wǎng)表、標(biāo)準(zhǔn)延時(shí)格式(standard delay format)文件SDF、庫SAIF文件。庫SAIF文件中包含了SDPD信息。)COND DEFAULT)COND B RISE FALL(IOPATH A)(COND A RISE FALL (IOPATH B)(Y(PORT(MODULE and2al示例庫SAIF文件的部分內(nèi)容如下所示:(SAIFILE(SAIFVERSION lib)(DIRECTION forward)(DESIGN)(DATE Mon May 10 15:40:19 2004(VENDOR Synopsys,Inc)(PROGRAM NAME lib2saif)(DIVIDER / )(LIBRARY ssc_core_typlib_pathname output 對應(yīng)該流程的一個(gè)示例腳本如下所示:    read_db Gate backward SAIF文件的生成需要庫SAIF文件,該文件可以通過power piler生成,流程如下所示:forward   (4)SAIFGATE分析法  前面介紹了RTL bac
點(diǎn)擊復(fù)制文檔內(nèi)容
試題試卷相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1