freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

紅綠燈交通燈控制器eda設(shè)計(jì)(doc畢設(shè)論文)(參考版)

2025-07-01 01:18本頁(yè)面
  

【正文】 圖662紅綠燈交通燈控制器硬件測(cè)試示意圖鎖定引腳時(shí)將CLK接至clock0(接受1kHz的時(shí)鐘頻率);內(nèi)部自復(fù)位信號(hào)同鍵3(PIO8)相連;手動(dòng)、自動(dòng)切換鈕(1:自動(dòng)、0手動(dòng))接鍵4(PIO9);:紅綠燈狀態(tài)切換鍵(每按一次就切換一個(gè)狀態(tài))接鍵5(PIO13); S[14..8]接數(shù)碼管8(PIO46~PIO40)顯示十位的到計(jì)時(shí)時(shí)間;S[6..0]接數(shù)碼管7(PIO38~PIO32)顯示個(gè)位的到計(jì)時(shí)時(shí)間;R1接發(fā)光二級(jí)管D8(PIO23)用于指示南北路口紅燈;G1接發(fā)光二級(jí)管D7(PIO22)用于指示南北路口綠燈;Y1接發(fā)光二級(jí)管D6(PIO21)用于指示南北路口黃燈;R0接發(fā)光二級(jí)管D5(PIO20)用于指示東西路口紅燈;G0接發(fā)光二級(jí)管D4(PIO19)用于指示東西路口綠燈;Y0接發(fā)光二級(jí)管D3(PIO18)用于指示東西路口紅燈;NEXT_S 接發(fā)光二級(jí)管D1(PIO16)用于轉(zhuǎn)態(tài)指示。圖661中,控制器輸入信號(hào)有:?CLK:由外接信號(hào)發(fā)生器提供1kHz的時(shí)名脈沖信號(hào):?RE:系統(tǒng)內(nèi)部自復(fù)位信號(hào):?K1:手動(dòng)、自動(dòng)切換鈕(1:自動(dòng)、0手動(dòng)):?K2:紅綠燈狀態(tài)切換鍵(每按一次就切換一個(gè)狀態(tài))(使用在手動(dòng)模式下):輸出信號(hào)有:?NEXT_S:當(dāng)計(jì)數(shù)器計(jì)時(shí)完畢時(shí),產(chǎn)生一個(gè)脈沖信號(hào),作為轉(zhuǎn)態(tài)觸發(fā)信號(hào):?R[1..0]:負(fù)責(zé)顯示紅燈的亮滅?。ü?b,4種狀態(tài)):?G[1..0]:負(fù)責(zé)顯示綠燈的亮滅 (共2b,4種狀態(tài)):?Y[1..0]:負(fù)責(zé)顯示黃燈的亮滅?。ü?b,4種狀態(tài)):?S[15..8]:負(fù)責(zé)將十位的計(jì)數(shù)數(shù)值轉(zhuǎn)換成BCD碼,并利用七段顯示器顯示?S[7..0]: 負(fù)責(zé)將個(gè)位的計(jì)數(shù)數(shù)值轉(zhuǎn)換成BCD碼,并利用七段顯示器顯示圖661紅綠燈交通燈控制器元件符號(hào) 硬件測(cè)試 為了能對(duì)所設(shè)計(jì)的紅綠燈交通燈控制器電路進(jìn)行硬件測(cè)試,應(yīng)將其輸入輸出信號(hào)鎖定在開(kāi)發(fā)系統(tǒng)的目標(biāo)芯片引腳上,并重新編譯,然后對(duì)目標(biāo)芯片進(jìn)行編程下載,完成紅綠燈交通燈控制器的最終開(kāi)發(fā),其硬件測(cè)試示意圖如圖662。 end behave。 u4:traffic_CON port map(RE,CLK,ena_scan_1,ena_1Hz_1,flash_1Hz_1,K1,K2,next_state_1,recount_1,sign_state_1,R,G,Y)。 u2:traffic_mux port map(RE,cCLK,ena_scan_1,recount_1,sign_state_1,load)。signal load:std_logic_vector(7 downto 0)。signal next_state_1:std_logic。signal flash_1Hz_1:std_logic。architecture behave of traffic_TOP issignal ena_scan_1:std_logic。 yellow: out std_logic_vector(1 downto 0))。 red: out std_logic_vector(1 downto 0)。 next_state: in std_logic。 a_m:in std_logic。 ena_1Hz:in std_logic。 clk:in std_logic。 end ponent。 seg7:out std_logic_vector(15 downto 0)。 recount:in std_logic。 clk:in std_logic。 end ponent。 sign_state: in std_logic_vector(1 downto 0)。 ena_scan:in std_logic。 ponent traffic_mux port(reset: in std_logic。 flash_1Hz: out std_logic)。 ena_scan:out std_logic。ponent clk_gen port(reset: in std_logic。 S:out std_logic_vector(15 downto 0))。 G:out std_logic_vector(1 downto 0)。 NEXT_S:out std_logic。a_m K2:in std_logic。 CLK:in std_logic。 USE 。 USE 。本節(jié)所要做的工作就是將所有的子電路全部連接起來(lái),進(jìn)行時(shí)序分析正確無(wú)誤后,再下載到FPGA,以便進(jìn)行硬件電路的測(cè)試工作。進(jìn)程fsm是紅綠燈狀態(tài)控制器和紅綠燈閃爍控制器。因此,st_butt鍵按下至少需要維持ena_scan?(3f02)16=4ms?(61)=244ms,才能使st_transfer=1電路轉(zhuǎn)態(tài)。進(jìn)程debounce是抖動(dòng)消除電路,其重點(diǎn)在于st_transfer何時(shí)為1。在源程序中,利用類(lèi)別的定義格式Type tpye_name is type_mark,將所有紅綠燈交通信號(hào)系統(tǒng)發(fā)生的狀況利用類(lèi)別(type)的定義格式一一列舉出來(lái)(程序中共定義了8種情況),信號(hào)線state的設(shè)置的目的是將sreg0_type定義的8種狀況轉(zhuǎn)換成位的方式表示。 green = light(1 downto 0)。 yellow = light(3 downto 2) and (flash_1Hz amp。 light = 010010 when (state=r0g1) else 011000 when (state=r0y1) else 100001 when (state=g0r1) else 100100 when (state=y0r1) else 110000。 end if。 end case。039。 when others = state=r0g1。 end if。 state=r0g1。 else recount=39。139。039。139。039。 end if。 yellow=239。 green=239。 red=239。039。 sign_state = 00。139。139。139。139。 end if。 sign_state = 11。139。 state=g0r1。) then 0: 保持, 1: 傳送 light state recount=39。) then if (st_transfer=39。 and ena_scan=39。 elsif (a_m=39。b00。b01。b10。 state=g0r1。 else recount=39。 state=y0r1。) then recount=39。) then if (next_state = 39。 and ena_1Hz=39。 when g0r1 = 現(xiàn)態(tài): green0 on red1 on if (a_m=39。 end if。 state=g0r1。 else recount=39。139。039。139。039。 end if。 yellow=239。 green=239。 red=239。039。 sign_state = 10。139。139。139。139。 end if。 sign_state = 01。139。 state=r0g1。) then 0: ,保持1:傳送 light state recount=39。) then if (st_transfer=39。 and ena_scan=39。 elsif (a_m=39。b00。b10。b01。 state=r0g1。 else recount=39。 state=r0y1。) then recount=39。) then if (next_state = 39。 and ena_1Hz=39。) then
點(diǎn)擊復(fù)制文檔內(nèi)容
數(shù)學(xué)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1