freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內容

基于vhdl語言的彩燈控制器(參考版)

2025-06-30 19:33本頁面
  

【正文】 end behave。u4: shache port map(top_shche ,top_clk ,l4)。u2: zuoyi port map(top_clk,top_zuoyi,l2)。end process。elset=000000。139。 thent=l3。elsiftop_jiache=39。139。 thent=l1。beginprocessbeginif top_youyi=39。signal l1,l2,l3,l4 : std_logic_vector(5 downto 0)。light: out std_logic_vector(5 downto 0))。end ponent。ponent jianchaport(jiache ,clk: in std_logic。light : out std_logic_vector(5 downto 0))。end ponent。architecture behave of contro isponent youyiport( clk,yy : in std_logic。top_light : out std_logic_vector(5 downto 0))。top_zuoyi : in std_logic。top_jiache : in std_logic。use 。use 。end behave。end if。139。synch: process(clk)beginif(clk39。light(1)=light1(1)。039。039。039。end process。next_state=s0 。end if。elselight1=000。 thenlight1=010。when s1=if yy=39。 next_state=s0。next_state=s1。139。signal light1 : std_logic_vector(2 downto 0)。architecture behave of youyi istype states is (s0 ,s1,s2)。light : out std_logic_vector(5 downto 0))。use 。end behave。end if。139。synch: process(clk)beginif(clk39。light(0)=39。light(1)=39。light(2)=39。light(4)=light1(1)。end process。next_state=s0 。end if。elselight1=000。 thenlight1=010。when s1=if zy=39。 next_state=s0。next_state=s1。139。signal light1 : std_logic_vector(2 downto 0)。architecture behave of zuoyi istype states is (s0 ,s1,s2)。light : out std_logic_vector(5 downto 0))。use 。end。light=q。end if。039。) thenq=111111。 thenif (shche=39。event and clk=39。architecture shift_1 of shache issignal q:std_logic_vector(5 downto 0)。light: out std_logic_vector(5 downto 0))。use 。剎車模塊的程序library ieee。light=q。end if。q(1)= not q(1)。q(3)=not q(3)。) thenq(5)=not q(5)。elsif(clk event and clk=39。039。architecture shift_1 of jiancha issignal q: std_logic_vector(5 downto 0)。light: out std_logic_vector(5 downto 0))。use 。在本次設計中最大的收獲是在不斷地發(fā)現(xiàn)問題,分析問題,解決問題的過程中培養(yǎng)了自己的科研能力,為今后的學習工作做了一個良好的鋪墊。終于使彩燈控制器的控制,檢查,循環(huán),時控等基本功能都實現(xiàn)了,美中不足的是彩燈的各個仿真結果都有一定的延時。在整個電路設計完畢并仿真成功后發(fā)現(xiàn),其實整個電路設計實現(xiàn)的功能還是比較實用和易于操作的,而自己也為此付出了許多:從根據課題要求查找相關資料,學習硬件語言,到自己能夠獨立編寫小程序;從對Max Plus II 軟件的摸索,一次次修改程序,到仿真得到較滿意的結果;從對截圖工具的搜索下載,論文資料的搜集,到文字排版的學習。三是循環(huán)模塊,此模塊也是一分模塊,主要功能是當程序運行時,彩燈能夠按設計要求不同花型間循環(huán)交替變化。根據自己對彩燈控制器的理解我設計了四大功能:一是檢查功能,二是控制功能,三是循環(huán)功能,四是時控功能。 (000—100—010—001—100—010—001)由仿真圖像可知,右轉模塊運行正常。light 是汽車尾燈顯示輸出信號,高電平有效。上圖仿真結果顯示:yy 是左轉彎數(shù)控制輸入信號,高電平有效。尾燈顯示由左邊三個燈依次向左循環(huán)閃爍,右邊三個燈保持暗狀態(tài)。lk 是脈沖周期信號。 時控模塊的仿真將程序使用 Max Plus II 進行運行并仿真,得到左轉模塊仿真圖像如 所示。尾燈顯示由暗到長時間全亮直到控制信號變?yōu)榈碗娖绞亲儼?。clk 是脈沖周期信號。 循環(huán)模塊的仿真將程序使用 Max Plus II 進行運行并仿真,得到剎車模塊仿真圖像如 所示。light 是汽車尾燈顯示輸出信號,高電平有效。 檢查模塊的仿真將程序使用 Max Plus II 進行運行并仿真,得到檢查模塊仿真圖像如 所示。top_light5 至 top_light0 是汽車尾燈顯示輸出信號,高電平有效。top_jiancha 是檢查控制輸入信號,高電平有效。top_youyi 是右轉彎控制輸入信號,高電平有效。圖 右轉模塊狀態(tài)循環(huán)圖4 彩燈控制器的仿真 控制模塊的仿真將程序使用 Max Plus II 進行運行并仿真,得到控制模塊仿真圖像如 所示。LIGHT[5…0]是汽車尾燈顯示輸出信號,高電平有效,狀態(tài)圖如上表在高電平有效情況下進入循環(huán)(100—010—001—100—010—001) 。圖 右轉模塊設計圖其中,YY 是左轉彎數(shù)控制輸入信號,高電平有效。LIGHT[5…0]是汽車尾燈顯示輸出信號,高電平有效,狀態(tài)圖如上表在高電平有效情況下進入循環(huán)(001—010—100—001—010—100)。圖 左轉模塊設計圖其中,ZY 是左轉彎數(shù)控制輸入信號,高電平有效。end。light=q。end if。039。) thenq=111111。 thenif (shche=39。event and clk=39。architecture shift_1 of shache issignal q:std_logic_vector(5 downto 0)。light: out std_logic_vector(5 downto 0))。use 。圖 剎車模塊狀態(tài)循環(huán)圖剎車模塊的VHDL程序代碼如下:library ieee。LIGHT[5…0]是汽車尾燈顯示輸出信號,高電平有效,狀態(tài)圖如上表在高電平有效情況下進入高電平(000000—111111)。圖 剎車模塊設計圖其中 SHACHE 是剎車控制輸入信號,高電平有效。end。end process。q(0)= not q(0)。q(2)= not q(2)。q(4)=not q(4)。139。) thenq=000000。beginprocess(clk, jiache)beginif (jiache=39。end。entity jiancha isport(jiache ,clk: in std_logic。圖 檢查模塊狀態(tài)循環(huán)圖檢查模塊的VHDL程序代碼如下:library ieee。LIGHT[15…0]是汽車尾燈顯示輸出信號,高電平有效,狀態(tài)圖如上表在高電平有效情況下進入循環(huán)(111111—000000—111111—000000) 。檢查模塊的設計思路如下圖 所示。u4: shache port map(top_shche ,top_clk ,l4)。u2: zuoyi port map(top_clk,top_zuoyi,l2)。end process。elset=000000。139。 thent=l3。elsiftop_jiache=39。139。 thent=l1??刂颇K的主要作用如下圖 所示春冬控制信號 夏秋控制信號主控選擇模塊左側燈選擇控制模塊 右側燈選擇控制模塊檢查模塊CH R循環(huán)控制信號 檢查控制信號L圖 控制模塊作用圖控制模塊的主要代碼如下:beginif top_youyi=39。TOP_LIGHT[15…0]是彩燈顯示輸出信號,高電平有效。TOP_CHUNDONG 是春冬季節(jié)控制輸入信號 ,高電平有效。TOP_JIANCHA 是檢查控制輸入信號,高電平有效。 設計思路根據該彩燈控制器的功能要求,現(xiàn)劃分為以下 4 個模塊:1.控制模塊2.檢查模塊3.循環(huán)模塊4.時控模塊每個模塊各自控制相應彩燈的亮滅,控制模塊進行總體控制,彩燈的運行狀態(tài)表如下表 31 所示。該彩燈控制器的具體工作過程是:1.初始狀態(tài)時,各彩燈處于低電平狀態(tài),CLK 信號作為時鐘信號起到頻率間隔作用,當彩燈啟動時若是某處彩燈出現(xiàn)故障,比如彩燈燒壞或是某處電路出現(xiàn)問題時,檢查燈 CH 將會亮,成警報狀態(tài);2.當彩燈運行中,彩燈呈現(xiàn)設計的花型;3.當彩燈運行后,彩燈按花型不斷循環(huán);4.當季節(jié)改變時,彩燈亮與滅的時間段發(fā)生改變。用于彩燈夏秋季的自動開關時間調整。用于彩燈季節(jié)的自動開關時間調整。REMOVE 為循環(huán)控制信號,高電平有效,用于提示新一輪花型的接替變換。根據以上設計要求,繪制出彩燈控制器外部框圖如下圖 所示:圖 彩燈控制器外部框圖該框圖的實現(xiàn)功能如下:CLK 是任意頻率的脈沖, 經過分頻電路后變成秒脈沖輸入,作為時鐘信號使用。4.時控功能:在設定的時間段,如春冬 18:306:00 自動亮,其他時間自動滅;夏秋 19:007:00 自動亮,其他時間自動滅。2.控制功能:當啟動開關時,彩燈有規(guī)律閃爍,顯示預設的花型和圖案。其設計流程如圖 所示:圖 VHDL 自頂向下設計流程基于可編程邏輯器件 CPLD/FPGA 的芯片,使用硬件描述語言(VHDL)設計一個彩燈控制器芯片,并進行計算機仿真和編程下載。 設計方案應用 VHDL 進行自頂向下的設計,是采用可完全獨立于目標器件芯片物理結構的硬件描述語言。彩燈由不同顏色的 LED 燈組成,通過控制不同顏色燈的亮與滅,呈現(xiàn)給人們不同的花型和圖案,極大的點綴了單調的都市夜景,讓城市成為了多姿多彩的不夜城。他包括設計輸入編輯、編譯網表提取、數(shù)據庫建立、邏輯綜合、邏輯分割、適配、延時網表提取、編輯文件匯編以及編程下載 9 個步驟。Max Plus II 的設計流程可以用如下圖 給出。
點擊復制文檔內容
環(huán)評公示相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1