freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

集成電路設(shè)計(jì)綜合技術(shù)講座ppt(參考版)

2025-03-26 00:04本頁(yè)面
  

【正文】 ? parameter state2。 ? parameter state0 = 2’b00。投入一元為一個(gè)變量 A(輸入 );投入五角為一個(gè)變量 B(輸入);售貨機(jī)給出一杯飲料,定義為 Y(輸出);售貨機(jī)退出一枚五角硬幣,定義為 Z(輸出)。 ? 另外可以選擇 Gray Code,因?yàn)楦窭状a每次只有一位發(fā)生變化,可以避免電路上的競(jìng)爭(zhēng)現(xiàn)象出現(xiàn)。 finish = 1’b1。 Z = 2’b10。 Z = 2’b10。 ? Z = 2’b11。 ? Z = 2’b01。 ? end ? always (current_state or A) ? begin ? finish = 1’b0。 98 mealy狀態(tài)機(jī) ? always (negedge reset or posedge clk) ? begin ? if (!reset) current_state = STATE_INIT。 ? reg [1:0] Z。 ? output finish。 ? input A。 ? parameter STATE_FINISH = 4’b1000。 ? parameter STATE_ST1 = 4’b0010。 97 mealy狀態(tài)機(jī) ? module mealy_fsm(clk, reset, A, Z, finish)。 Always結(jié)構(gòu)中通過(guò) clk的上升沿控制。 finish = 1’b1。 finish = 1’b0。 ? end STATE_ST2: begin state = STATE_FINISH。 ? Z = 2’b11。 ? end ? STATE_ST1: begin ? if (A) state = STATE_ST2。 ? Z = 2’b01。 ? finish = 1’b0。 95 moore狀態(tài)機(jī) ? always (negedge reset or posedge clk) ? begin ? if(!reset) begin ? state = STATE_INIT。 ? reg [1:0] Z。 ? output finish。 ? input A。 ? parameter STATE_FINISH =3。 ? parameter STATE_ST1 = 1。 ? else out=out+1。 ? always (posedge clk) ? begin ? if (!reset) out=8’h00。 ? input load, reset, clk。 ? output [7:0] out。 ? end ? initial $monitor($time, “clk = %d reset = %d out = %d”, clk, reset, out)。 ? DELAY reset = 0。 reset = 0。 ? always (DELAY/2) clk = ~clk。 ? parameter DELAY = 100。 ? end ? endmodule 91 計(jì)數(shù)器的仿真程序 ? `timescale 1ns/1ns ? `include “” ? module count4_top。 ? always (posedge clk or negedge reset_b) ? begin ? if (! reset_b) out=0。 ? input reset, clk。 ? 從上述例子可以看到,實(shí)現(xiàn)一個(gè)電路的途徑有很多,可以根據(jù)實(shí)際情況靈活運(yùn)用,同時(shí)也可以看到, verilog語(yǔ)言描述的基礎(chǔ)還是 數(shù)字邏輯電路 90 計(jì)數(shù)器設(shè)計(jì) ? module count4(out, reset, clk)。 ? or(out, w, x, y, z)。 ? not (notsel1, sel[1]), (notsel0, sel[0])。 ? input [1:0] sel。 89 數(shù)據(jù)選擇器設(shè)計(jì) ? module mux4_1a(out, in0,in1,in2,in3,sel) ? output out。sel[0])。~sel[0])| (in3amp。sel[0])| (in2amp。~sel[0])| (in1amp。 ? assign out = (in0amp。 ? input in0, in1, in2, in3。 ? A B ? endmodule ? 上述模塊為 4選 1選擇器,首先通過(guò) sel[1]選擇表達(dá)式 A或者 B,然后用 sel[0]進(jìn)行二次選擇決定最終的輸出。 ? input [1:0] sel。cin = 0; 5 cin = 1; 5 cin =0; b = 1; 5 cin = 1; 10 $finish; //結(jié)束仿真 end endmodule 87 數(shù)據(jù)選擇器設(shè)計(jì) ? module mux4_1c(out, in0,in1,in2,in3,sel) ? output out。 //施加激勵(lì) initial begin a = 0; b = 0; cin = 0; 5 cin = 1; 5 cin = 0; b = 1; 5 cin = 1; 5 a = 1。 . . . end m od ul eV eril og Sim ul at orV eril og X L , V CS, N C V eril og . . . 0n s ci = 0 s = 0 5n s ci = 0 s = 1 10 ns ci = 1 s = 1 .....T extou t pu t測(cè)試系統(tǒng) DUT Testbench 84 測(cè)試系統(tǒng)組成 ? 測(cè)試目的:功能 amp。 ? initial $readmemh( ””, mem); ? initial $readmemh( ””, mem, 128, 156); 82 Id ea V eri l o g Behav i o r Mod el V eri l o g X L V eri fi cati o n Sy n o p s y s L o g i c Sy n t h es i s V eri l o g Str u ct u ral Mo d el( G at e l ev el n et l i s t) V eri l o g X L V eri fi cati o n Ph y s i cal D es i g n Cell L i b rari es 設(shè)計(jì)流程 83 G raph i calO ut pu tCircu i t D es crip t i onm od ul e fadd er(s, co, a, b, ci ) . . . . . . end m od ul eT es t Patt ern D es crip t i onm od ul e t es t 。 ? $realtime : 返回 一個(gè)實(shí)數(shù) 。 ? 例 : ? $write (%b \t %h \t %d \t %o\n, a, b, c, d); ? $display (%b \t %h \t %d \t %o, a, b, c, d); 76 F o r m a t S p e c i f i c a t i o n E s c a p e d c h a r a c t e r % h o r % H d i s p l a y i n h e x a d e c i m a l f o r m a t % d o r % D d i s p l a y i n d e c i m a l f o r m a t % o o r % O d i s p l a y i n o c t a l f o r m a t % b o r % B d i s p l a y i n b i n a r y f o r m a t % c o r % C d i s p l a y i n A S C I I f o r m a t % v o r % V d i s p l a y n e t s i g n a l s t r e n g t h % m o r % M d i s p l a y h i e r a r c h i c a l n a m e % s o r % S d i s p l a y a s a s t r i n g % t o r % T d i s p l a y i n c u r r e n t t i m e f o r m a t \ n i s t h e n e w l i n e c h a r a c t e r \ t i s t h e t a b c h a r a c t e r \ \ i s t h e b a c k s l a s h c h a r a c t e r \ ” is t h e ” c h a r a c t e r \ o 1 3 d i g i t s o c t a l n u m b e r % % i s t h e p e r c e n t c h a r a c t er 輸出格式說(shuō)明符以及轉(zhuǎn)義字符 77 Verilog 語(yǔ)法與實(shí)例 ? $monitor:輸出變量的任何變化,都會(huì)輸出一次結(jié)果;而 $write和 $display每調(diào)用一次執(zhí)行一次 ? 例 : module monitor_test; reg in。 ? output sum, cout; ? input a, b, cin; ? XORX2 U0( sum, a, b, cin) 。cin)|(cinamp。 ? output sum, cout; ? input a, b, cin; ? reg sum, cout; ? always ( a or b or cin) //過(guò)程語(yǔ)句 ? begin //過(guò)程塊 ? sum = a^b^cin; //過(guò)程賦值語(yǔ)句 ? cout = (aamp。 endmoudle ? 結(jié)構(gòu)級(jí)描述側(cè)重對(duì) 模塊內(nèi)部結(jié)構(gòu) 實(shí)現(xiàn)的具體描述:半加器 66 10 10 t t in ou t I NV 10 my _inv ( out, i n) ; 67 Verilog 語(yǔ)法與實(shí)例 ? 模塊調(diào)用 ? 模塊調(diào)用 ? 基本門調(diào)用 頂層模塊 子模塊 1 子模塊 2 基本單元 基本單元 基本單元 基本單元 68 Verilog 語(yǔ)法與實(shí)例 ? 模塊調(diào)用方式 ? 位置對(duì)應(yīng) ? 端口名對(duì)應(yīng) top MU X c ounte r mo d u l e t o p ( ) .... M U X U 0 ( ) c o u n t e r U 1 ( ) ...... ..... e n d mo d u l e mo d u l e M U X ( ) .... .
點(diǎn)擊復(fù)制文檔內(nèi)容
電大資料相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1