freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內容

基于vhdl六層電梯控制系統設計說明書(參考版)

2025-05-11 19:18本頁面
  

【正文】 up。updown=39。 then q1=3。關門中斷 elsif quick=39。q1=0。139。139。039。039。q2=0。 then door=10。 故障報警 else if opendoor=39。 if q2=3 then wahaha=39。alarm=39。 end if。超載報警 湖南工學院畢業(yè)設計(論文) 25 if q1=3 then door=10。139。139。039。q2=0。139。139。 以此類推,在一樓時 led 賦值為“ 100111” ,三樓時為“ 0000110”,四樓時為?? 在進程執(zhí)行單元里,對電梯在樓層時的操作情況作出了描述,例如:開門、關門延時、超載報警、故障報警以及電梯內的請求信號處理,具體說明給出如下: process(clk) begin if clk39。 在上述語句中的“ elsif g2=‘ 1’ then led=“ 0010010;”, led 的賦值之所以為“ 0010010”是根據共陽極七段數字顯示器的發(fā)光段排列的。039。039。139。039。039。139。139。039。039。139。139。有下降請求,則電梯進入預備下降狀態(tài) end if。 opendoor=39。en_up=39。 有上升請求,則電梯進入預備上升狀態(tài) elsif dd_cc00000010 then en_dw=39。 opendoor=39。en_dw=39。 有當前層的請求,則電梯進入開門狀態(tài) elsif dd_cc00000011 then en_up=39。 opendoor=39。 c_u22=39。 then d22=39。 or c_u22=39。 then 電梯前一運動狀態(tài)位上升 if d22=39。 電梯到達 2樓,數碼管顯示 2 if updown=39。139。 在結構體中對電梯的運行行為作出描述,其中電梯處于二樓?五樓情況復雜些,以下給出二樓情況的具體說明。 湖南工學院畢業(yè)設計(論文) 24 VHDL 語言也具有與一般編程語言相同的一些語句邏輯結構,如上述中的“ if?then? elsif? then?;”等。 end if。 if q1=3 then door=10。139。139。039。q2=0。139。139。在 VHDL 語言里,賦值符號一般都是“ =” 符號,具體形式如下: begin if clk39。 上文已說明了構成 VHDL 程序的兩大部分 — 實體和結構體的相關語句。預備上升、預備下降預操作使能信號 begin process(clk) begin 。開門使能信號 signal updown:std_logic??撮T狗計數器 signal dd,cc_u,cc_d,dd_cc:std_logic_vector(5 downto 0)。分頻信號 signal q1:integer range 0 to 6。電梯外人上升請求信號寄存信號 signal c_d22,c_d33,c_d44,c_d55,c_d66:std_logic。 ( 3) archi 結構體設計模塊和 process 進程執(zhí)行單元 湖南工學院畢業(yè)設計(論文) 23 architecture behav of dianti is signal d11,d22,d33,d44,d55,d66:std_logic。電梯運動方向顯示,超載警告信號 up,down : out std_logic )。電梯內請求信號顯示 wahaha : out std_logic。電梯外人上升請求信號顯示 led_c_d:out std_logic_vector(5 downto 0)。電梯門控制信號 led : out std_logic_vector(6 downto 0)。電梯內人的請求信號 g1,g2,g3,g4,g5,g6 : in std_logic。 電梯外人的上升請求信號 c_d2,c_d3,c_d4,c_d5,c_d6: in std_logic。時鐘信號(頻率為 2Hz) full,deng,quick,clr : in std_logic。 use 。 use 。 ( 1) 調用 VHDL庫 使用 library語句,本程序應用了 VHDL 庫中的“通用 ieee 庫”和“標準 std庫” 。 本程序由三個基本模塊組成,包括調用 VHDL庫模塊、實體設計模塊和結構體設計模塊。 其分布如圖所示。 程 序 開 始V H D L 庫 調 用設 置 控 制 器 的 端 口設 置 相 關 的 寄 存 器電 梯 運 行 規(guī) 則 描 述電 梯 信 號 處 理程 序 結 果 圖 程序設計說明 、寄存器設計說 明 湖南工學院畢業(yè)設計(論文) 21 ( 1)由功能要求得到本程序設計的端口必須包括: 輸入端口:時鐘( clk,頻率為 2Hz)、超載( full)、關門中斷( deng)、提前關門( quick)、清除報警( clr)、電梯外人的上升請求信號( c_u1,c_u2,c_u3, ,c_u4,c_u5)、電梯外人的下降請求信號( c_d2,c_d3,c_d4,c_d5,c_d6)、電梯內人的請求信號( d1,d2,d3,d4,d5,d6)、到達樓層信號( g1,g2,g3,g4,g5,g6)。因此程序運行需經過以下流程: VHDL庫調用;確立控制器的端口及相關的寄存器;根據電梯運行規(guī)則,設計相關運行描述;對電梯內外信號進行處理。根據 VHDL 語言的規(guī)則,程序必須由最基本的實體和結構體構成。各狀態(tài)機之間轉換圖如圖 : s t o p o n 1 d o w n w a i t 4d o o r o p e n s t o p d o o r c l o s eu pd o w nR e s e t = 0電 梯 按 鈕 觸 發(fā)電 梯 按 鈕 觸 發(fā) 圖 狀 態(tài)機轉換圖 湖南工學院畢業(yè)設計(論文) 20 第六章 程序設計及調試 程序流程分析 電梯的運行規(guī)則確立后,需對整個控制程序的設計作一個流程規(guī)范。根據電梯的實際工作情況,可以把狀態(tài)機設置 7個狀態(tài),分別是 “ 電梯停留在第 1 層 ” 、 “ 開門 ” 、 “ 關門 ” 、 “ 開門等待 4 秒 ” 、“ 上升 ” 、 “ 下降 ” 和 “ 停止狀態(tài) ” ??梢詫㈦娞莸却拿棵腌娨约伴_門、關門都看成一個獨立的狀態(tài) [2]。由于其他模塊相對簡單很多,所以主控制器是核心部分。 結構體格式: ARCHITECTURE 結構體名 OF 實體名 IS [定義語句(元件例化); ] BEGIN 并行處理語句; END 結構體名; 湖南工學院畢業(yè)設計(論文) 17 第五章 電梯控制設計方案 電梯控制器的總體設計方案 控制器的功能模塊如圖 所示,包括主控制器、樓層選擇器、狀態(tài)顯示器、譯碼器和樓層顯示器。實體類似于原理圖中的一個部件符號,它并不描述設計的具體功能,只是定義所需的全部輸入 /輸出信號。 電路的具體描述配置( CONFIGURATION):一個實體可以有多個構造體,可以通過配置來為實體選擇其中一個構造體。 實體( ENTITY):聲明到其他實體或其他設計的接口,即定義本定義的輸入輸出端口。 VHDL 語言編程格式 ( 1)一個完整的 VHDL 程序是以下五部分組成的: 庫( LIBRARY):儲存預先已經寫好的程序和數據的集合。 VHDL 的設計步驟 采用 VHDL 的系統設計,一般有以下 6個步驟。使其在任何大系統的設計中,隨時可對設計進行仿真模擬。 (四) 可操作性 : 由于 VHDL 具有類屬描述語句和子程序調用等功能,對于已完成的設計,在不改變源程序的條件下,只需改變端口類屬參量或函數,就能輕易地改變設計的規(guī)模和結構。設計者可以不懂硬件的結構,也不必管最終設計實現的目標器件是什么,而進行獨立的設計。此外,通過更換庫再重新綜合很容易移植為 ASIC設計。 (二) 可移植性 : VHDL 語言是一個標準語言,其設計描述可以為不同的 EDA 工具支持。并且具有多層次的設計描述功能,支持設計庫和可重復使用的元件生成。 VHDL 的特點 應用 VHDL 進行系統設計,有以下幾方面的特點 : (一) 功能強大 : VHDL 具有功能強大的語言結構。 VHDL 作為一個規(guī)范語言和建模語言,具有很強的電路描述和建模能力,能從多個層次對數字系統進行建模和描述,從而大大簡化了硬件設計任務,提高了設計效率和可靠性。 語言介紹 VHDL( Very High Speed Integrated Circuit Hardware Description Language) 語言于 1983 年由美國國防部發(fā)起創(chuàng)建,由電工和電子工程師協會( the institute of electrical and electronics engineer)進一步發(fā)展并在 1987年作為“ IEEE1076”發(fā)布。使用 VHDL 語言進行程序設計,在QuartusII 軟件上對程序進行編譯、仿真。 基于 EDA 技術開發(fā)的實現六層電梯自動控制與目前主流的利用可編程邏輯控制器實現電梯控制緊密相連。 (7)電梯初始狀態(tài)為一層開門狀態(tài)。 (6)電梯運行規(guī)則:當電梯處于上升模式時,只響應比電梯所在位置高的上樓請求信號,由下而上逐個執(zhí)行,直到最后一個上樓請求執(zhí)行完畢;如果高層有下樓請求,則直接升到由下樓請求的最高層,然后進入下降模式。 (4)電梯到達有停站請求的樓層,經過 1秒電梯門打開,開門指示燈亮,開門 4秒 后,電梯門關閉 (開門指示燈滅 ),電梯繼續(xù)進行,直至執(zhí)行完最后一個請求信號后停留在當前層。 (2)設有電梯入口處位置指示裝置及電梯運行模式 (上升或下降 )指示裝置。 湖南工學院畢業(yè)設計(論文) 12 模式選擇 在此設計中模式選擇很重要,在實驗箱中模式有很多種見附錄二圖 1,但設計要接口多在此設計中我們選擇模式 5如圖 RA M /RO M 使能撥碼開關濾波1A/D使能轉換結束比較器DS8使能DS6使能5th使能ROM使能ON8 7 6 5 4 3 2 1撥碼 8 : DA C0 832 輸出濾波使能撥碼 7 : AD C0 809 使能,默認關閉,見左圖撥碼 6 : AD C0 809 轉換結束使能,見左圖撥碼 5 :應用 LM 31 1 使能,見下圖撥碼 4 : 8 數碼管顯示開關,默認打開撥碼 3 : 6 數碼管顯示開關,默認關閉撥碼 2 :默認關閉 向上撥,由廠家通知升級撥碼 1 :兩個 RO M /RA M 使能,即它們的 CS 1 接地VC CHS ( P I O 4 3 )VS ( P I O 4 4 )B ( P I O 4 2 )G ( P I O 4 1 )R ( P I O 4 0 )1054876321 視頻接口V G AJ6R 7 8 2 0 0R 7 7 2 0 0R 7 6 2 0 01413GNDP I O 4 5P I O 4 6 513P S / 2 接口J74AIN1V C C1 0 KV R 1撥碼7撥碼6AIN0PIO8(23)(24)12 16272610CLOCK750KHZA021 +5Vref()ref(+)IN1IN06922257171415818192021EU1ADC0809PIO16PIO17PIO18PIO19PIO20PIO21PIO22PIO23P
點擊復制文檔內容
教學課件相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1