freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內容

智能儀器設計報告——基于單片機和fpga的矩陣鍵盤控制系統(tǒng)設計(參考版)

2024-09-01 12:32本頁面
  

【正文】 endmodule 。bZ。 end else if (RD) L = Y。b0 ) begin L = 839。 // 三態(tài)門模塊 reg [7:0] L。 end assign INT = INTER。b0) ) 《智能儀器 設計》 大作業(yè) —— 基于單片機和 FPGA的矩陣鍵盤控制系統(tǒng)設計 28 INTER = 139。amp。 end always (dis) begin if ( (dis == 139。 else INTER =139。b1111_1111) INTER = 139。b0000,Y_r}。b0000。b1001。 // 8 539。h8: Y_r = 439。b0111。 // 6 539。h6: Y_r = 439。b0101。 // 4 539。h4: Y_r = 439。b0011。 // 2 539。h2: Y_r = 439。b0001。 case (keyvalue ) 539。 else begin Y_r =439。b0 ) //譯碼輸出 Y_r = 439。h0。h9。 739。b110_101 : keyvalue = 539。h7。 739。b101_110 : keyvalue = 539。h5。 739。b101_011 : keyvalue = 539。h3。 739。b011_101 : keyvalue = 539。h1。 end else case ( temp_r ) 739。b0 ) begin //譯碼輸出 keyvalue = 539。b1 ) temp_r = temp 。b0。 //拼接操作符,把兩個值拼接起來 always ( posedge clk or negedge rst ) begin if ( rst==139。 end end end assign KEYI = keydrv。b1) ) begin scankey_o = keydrv。amp。 if ( (dis == 139。 end else if ( clk ==139。b0 。b0 。 always ( posedge clk or negedge rst ) begin if ( rst==139。 //KEYO 中有一位為 0,則 dis 為 0;否則為 1 part1 key_clk( 《智能儀器 設計》 大作業(yè) —— 基于單片機和 FPGA的矩陣鍵盤控制系統(tǒng)設計 26 .clk ( clk), .clkout ( scanclk) , .rst ( rst ) )。 assign dis = amp。 wire dis。 reg [2:0] scankey_o。 reg [4:0] keyvalue 。 reg [5:0] temp_r 。 wire [7:0] Y。 wire [5:0] temp 。 //單片機接收的中斷信號 wire keypress。 //與原理圖一致,是 FPGA輸出給 鍵盤 output [7:0] Y1 。 //input RD 。 //與原理圖一致,是鍵盤輸出端口給 FPGA input clk 。 endmodule // //譯碼轉換與三態(tài)輸出模塊 // File : // Generated : 20200720 // Author : wangliang // `timescale 1 ns / 1 ps module top3 ( KEYO ,KEYI ,INT , clk , Y1 , rst)。 default: next_state =s1。 s2: next_state =s3。 else current_state = next_state 。 reg [2:0]next_state。b011。b101。b110。 wire [2:0] keydrv 。 wire clk 。 input clk 。 end end end assign clkout = clkout_r 。 t = 1 39。 else if (t == period 1) //設定的周期時間 begin clkout_r = 1 139。 if (t == (period 1) 1) //設定周期時間的一半 clkout_r = 1 139。 clkout_r = 0 。 always ( posedge clk or negedge rst) //分頻 50Hz begin if ( !rst ) begin t = {31{139。 //parameter period= 10。 reg clkout_r 。 wire clk 。 input rst 。 Display_A_Char_String(0,0,1,Char_2)。 //Display_A_Char_String(0,0,8,Char_String)。//將中斷 0 設置為電平觸發(fā) LCD_Initialize()。//打開總中斷 EX0=1。 } } void Int0() interrupt 0//外部中斷 0 的服務子程序不用在聲明區(qū)聲明的 { KeyScan()。//等待按鍵釋放 Display_A_Char_String(0,0,1,Char_1)。63)==27){ while((keyportamp。 case 0x09: { delay(5)。//等待按鍵釋放 Display_A_Char_String(0,0,1,Char_1)。63)==27){ while((keyportamp。 case 0x08: { delay(5)。//等待按鍵釋放 《智能儀器 設計》 大作業(yè) —— 基于單片機和 FPGA的矩陣鍵盤控制系統(tǒng)設計 21 Display_A_Char_String(0,0,1,Char_1)。63)==27){ while((keyportamp。 case 0x07: { delay(5)。//等待按鍵釋放 Display_A_Char_String(0,0,1,Char_1)。63)==27){ while((keyportamp。 case 0x06: { delay(5)。//等待按鍵釋放 Display_A_Char_String(0,0,1,Char_1)。63)==27){ while((keyportamp。 case 0x05: { delay(5)。//等待按鍵釋放 Display_A_Char_String(0,0,1,Char_1)。63)==27){ while((keyportamp。 case 0x04: { delay(5)。//等待按鍵釋放 Display_A_Char_String(0,0,1,Char_1)。63)==27){ while((keyportamp。 case 0x03: { delay(5)。//等待按鍵釋放 Display_A_Char_String(0,0,1,Char_1)。63)==27){ while((keyportamp。 case 0x02: { delay(5)。//等待按鍵釋放 Display_A_Char_String(0,0,1,Char_1)。63)==27){ while((keyportamp。0xFF)){ case 0x01: { delay(5)。y)。x) for(y=110。 for(x=z。 此字體下對應的點陣為:寬 x高 =8x16 */ 0x00,0x00,0x00,0xC0,0x20,0x10,0xF8,0x00,0x00,0x06,0x05,0x04,0x04,0x04,0x3F,0x04 }。 此字體下對應的點陣為:寬 x高 =8x16 */ 0x00,0x60,0x90,0x08,0x08,0x08,0x90,0x60,0x00,0x0C,0x12,0x21,0x21,0x21,0x12,0x0C, /* 文字 : 6 */ /* 黑體 12。 此字體下對應的點陣為:寬 x高 =8x16 */ 0x00,0x20,0x30,0xF8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x3F,0x00,0x00,0x00,0x00, /* 文字 : 2 */ 《智能儀器 設計》 大作業(yè) —— 基于單片機和 FPGA的矩陣鍵盤控制系統(tǒng)設計 19 /* 黑體 12。 此字體下對應的點陣為:寬 x高 =8x16 */ 0xE0,0x10,0x08,0x08,0x08,0x10,0x60,0x00,0x0F,0x10,0x20,0x20,0x20,0x10,0x0C,0x00, /* 文字 : M */ /* 黑體 12。 INT8U code Char_String[] = { /* 文字 : L */ /* 黑體 12。 INT8U code Char_9[]={ /* 文字 : 9 */ /* 宋體 12。 INT8U code Char_8[]={ /*
點擊復制文檔內容
環(huán)評公示相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1