freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內容

數(shù)字電路低功耗設計(二)-資料下載頁

2025-06-30 02:24本頁面
  

【正文】 60。( IOPATH S (TC 21)(IG 0) (FALL))COND DEFAULT (TC 0)(IG 0))Gate Backward SAIF文件是通過對門級網(wǎng)表進行仿真所得到的。如果設計很大,仿真需要的時間很長。好處是精確度很高。VCS所產(chǎn)生的Gate Backward SAIF文件中包含了一些或所有連線的開關行為和單元的開關行為。這些開關行為分別以上升和下降表示,與狀態(tài)和路徑有關。用這個信息可以進行精確的功耗分析。③功耗分析  有了門級網(wǎng)表、gate backward SAIF文件和SDF文件,就可以在power piler中進行功耗分析了,分析功耗的流程圖如下所示:            對應的一個示例腳本文件如下所示:    set target_library     set link_library * $target_library    read_verilog     current_design top    link    read_read_parasitics     read_ saif input mygate. saif inst tb/top    report_power上面的流程和腳本適用于后版圖(postlayout)的設計,spef文件在做完版圖后產(chǎn)生。使用寄生參數(shù)文件,提高了功耗分析的精確度。如果是前版圖( prelayout)的設計,沒有寄生參數(shù)文件,連線的RC參數(shù)使用工藝庫里的線負載模型。最后總結一下,這里分析功耗流程為:  power piler 產(chǎn)生庫SAIF文件——》VCS產(chǎn)生gate backward SAIF文件——》power piler進行功耗分析?! ?5)VCD轉SAIF分析法前介紹了使用SAIF文件分析功耗的方法,這個方法都是通過VCS仿真得到相應的SAIF文件,然后進行功耗分析。下面我們介紹使用VCD文件轉換成SAIF文件的方法,然后進行功耗分析。①VCD文件的產(chǎn)生首先,我們在進行仿真的時候,需要通過在testbench中加入相關的系統(tǒng)函數(shù),產(chǎn)生相應的VCD文件(和SDF文件),流程示意圖如下所示:          相應的一個示例testbench如下所示:module testbench。initial$sdf_annotate(,dut)initial begin$dumpfile()。$dumpvars。endmodule然后使用下面命令進行仿真:    vcs R +delay_mode_path完成仿真之后,就可以得到VCD文件了。②VCD文件轉換成SAIF文件仿真時產(chǎn)生的VCD文件也包含了設計中節(jié)點和連線的開關行為。在Power Compiler中,可以使用程序vcd2saif可以把VCD文件轉化為SAIF文件,如下圖所示:        vcd2saif是在UNIX命令行使用的一個程序。vcd2saif程序也可以把VPD文件(二進制格式的VCD文件)轉化為SAIF格式的文件。如果設計很大,仿真的時間長,vcd2saif程序可以用管道傳遞的方式把VCD轉化為SAIF文件。這時vcd文件不存放在文件里,vcd通過先入先出(FirstIn First()nt,簡稱FIFO把數(shù)據(jù)傳給vcd2saif程序,然后產(chǎn)生SAIF文件。轉換的SAIF文件里沒有SDPD的信息。如下圖所示:        有了SAIF文件之后,我們就可以像前面那樣使用SAIF文件進行功耗分析了,至于是版圖前的功耗分析還是版圖后的功耗分析,取決于功耗分析時有沒有與版圖中有關的信息,比如是SPEF文件。因此流程為:    VCS產(chǎn)生VCD文件——》power piler 將VCD文件轉換為SAIF文件——》power piler 進行分析功耗最后,我們來說一下這里使用vcd2saif程序的好處,主要有下面三點:  1. VCD產(chǎn)生的速度快?! ?. VCD是IEEE的標準并且適用于進行后仿真。  3. 轉換的過程快。=============================================================================================我們已經(jīng)介紹四種為設計產(chǎn)生開關行為的方法,分別是直接設置翻轉率、RTL backward SAIF文件、gate back SAIF文件和VCD轉SAIF文件;這些方法可以混合使用,其優(yōu)先次序如下所示:            用read_ saif命令標記的開關行為優(yōu)先級最高。用set_switching_activity命令設置的開關行為優(yōu)先級次之。優(yōu)先級最低的是用默認的變量power_default_toggle_rate指定的翻轉率。開關行為可以被清除,使用“reset_switching_activity”命令可以清除所有被標記的翻轉率和通過傳輸?shù)玫降姆D率。用report_saif可以顯示讀入saif文件后設計中的開關行為信息。一個完整的SAIF文件,user annotated”應該是100%。如果SAIF不完整,那么默認的翻轉率將附加到輸入端和黑盒子的輸出端。翻轉率通過零延遲仿真?zhèn)鬏斚氯?,這樣就可以計算出設計的功耗?! ∈褂胷eport_saif命令的一個例子如下:              與開關行為有關的命令有:merge_saif 合并SAIF文件read_sai f 讀backward SAIF文件report_saif 報告開關行為的信息rtl2saif 產(chǎn)生RTL forward SAIF文件write_ saif 寫出一個backward SAIF文件lib2saif 產(chǎn)生library forward SAIF文件propagate_switching_activity 傳輸功耗清除reset_switching_activity 清除開關行為和/或翻轉率set_switching_activity 在指定的物體上設置開關行為  (6)功耗分析報告我們是通過分析功耗報告(report_power命令產(chǎn)生)來查看設計功耗的,一個功耗報告的示例部分內容如下所示:    Cell Internal Power= mW(66%)    Net Switching Power= mW(34%)    Total Dynamic Power=1 .3361 W(100%)    Cell Leakage Power = nW其中第一項為內部短路功耗,第二項為開關功耗,合起來為動態(tài)功耗;最后一項為靜態(tài)功耗,也就是泄漏功耗。如果要報告設計中每個模塊和單元的功耗,在report_power命令后加選項 hier,例如: report_power hier,產(chǎn)生的報告如下所示:   基于EDA工具——power piler 的功耗分析就記到這里。
點擊復制文檔內容
試題試卷相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1